TAG :AI

  • View More CEO.jpg
    半導體

    AI浪潮助推,台積電與韓國從敵人變盟友,HBM江山分杯羹,三星非常著急

    連于慧
    過去曾是張忠謀口中的兩隻「700磅大猩猩」的英特爾與三星,前者在COMPUTEX期間CEO積極巡攤位與下游OEM廠搏感情; 另一家三星則是SEMICON Taiwan期間,與台積電高層坐在台上華山論劍談AI,兩家公司高層中間還特別隔著一個Google代表,微妙的距離看上去是「友達以上,合作未滿」!
     



    在「AI晶片世紀對談」中,作為論壇主持人的日月光執行長吳田玉話鋒犀利指出:你們看這AI商機多大、多美好,迫使我們得跟韓國的朋友(指同在台上的三星)來討論AI這個議題。話一說完還不忘問台積電共同營運長米玉傑「我這樣說有太超過嗎?」


    他也不斷拋出同一個議題讓大家思考:今日我們對AI的投資如此巨大,包括三星、SK海力士、台積電在硬體製造上高度資本密集的投入,還有先進封裝、設備材料行業極力往前衝,但最大的受益者卻是美國,且前方回收之日十分漫長。
     



    三星記憶體業務副總李禎培Jung-bae Lee認為,現在只是投資播種期而已,呼籲要有耐心。
     



    確實,在AI時代已經明顯落後的三星,不但需要展現耐心極力追趕,更對勁敵台積電努力拋出橄欖枝。其實,就算三星想選擇「躺平」...大客戶Nvidia也絕對不會允許!
     



    只是,進入HBM4技術世代後,三星面臨的壓力會比以往更巨大,因為要面臨記憶體和邏輯兩大勁敵SK海力士和台積電的攜手合作。




     



    從HBM4技術開始,記憶體與邏輯之間的邊界開始被打破,台積電更是直接嶄露跨足記憶體的雄心,與SK海力士宣布合作共同開發HBM4記憶體,將於2026年正式量產。
     



    在HBM領域已經落後的三星,眼看SK海力士和台積電合作,心中著急自是不言而喻,在SEMICON TAIWAN 2024中,三星表示,HBM4合作將不限於自家晶圓代工廠,對台積電揮手的意圖十分明顯。
     



    翻開台積電的歷史,身為半導體常勝軍的張忠謀,DRAM一直是他的魔咒。台積電在1994年主導成立世界先進做DRAM,卻在2000年之後宣布退出,從此專注在邏輯製程領域,不碰記憶體。
     



    沒想到在20年後,AI這股颶風讓台積電順理成章打開記憶體大門,更與一向是對立面的韓國,並肩作戰成為技術盟友!
     



    為什麼HBM會需要台積電邏輯製程技術的幫助? 
     



    HBM的架構是將DRAM晶片堆疊在Base Die(基礎裸晶)之上,再用矽穿孔TSV技術結合,之前HBM的Base Die是用DRAM製程做,但從HBM4開始,考慮到需要更強大的運算功能和傳輸速度加快,HBM的Base Die需要改成用由先進邏輯製程生產。而SK海力士沒有邏輯先進製程,因此需要與台積電合作,預計雙方合作的HBM4產品會用到台積電的5奈米製程。
     



    李禎培在「AI晶片世紀對談」論壇中也指出,為了打破限制,HBM必須加入邏輯處理的技術,提供客製化HBM,現在三星HBM4的Base Die已經交給晶圓代工廠。
     



    他更強調,HBM4技術世代後,記憶體業者、晶圓代工廠、客戶三方之間的合作越將更為緊密,而三星本身有記憶體、晶圓代工等業務,可滿足客戶一條龍式生產服務。再者,三星記憶體已準備好Base Die的IP解決方案,可以提供給客戶自行設計,保持代工服務彈性,因此未來合作並不限於三星自己的晶圓代工廠。
     



    SK海力士社長金柱善(Justin Kim)也出席了大師論壇,表示2024年以來已經來台灣十次了! 至於為何而來,台下聽眾皆是會心一笑。
     



    SK海力士與三星一同出席SEMICON TAIWAN 2024舉辦的大師論壇,是這兩家韓系記憶體廠首次在台灣同台競技,目的都是拉攏台灣的半導體產業供應鏈。
     



    這要感謝AI時代,把台灣產業鏈的重要性提升到另一個高度與層次,讓台灣成功掌握PC、智慧型手機時代後,又站在AI時代的浪潮頂峰!
     



    金柱善表示,台灣和南韓之間要密切合作,能彰顯高度價值,不僅是對眼前業務有利,也是為了因應解決前方挑戰而共同努力。
     



    他也表示,SK海力士在HBM領域享有最高的全球市占率,HBM3E是市面上最具主導地位的產品,預計9月就會推出12層堆疊的HBM3E產品,應用在AI伺服器上。同時,SK海力士的HBM4也在研發中,將配合客戶量產時程,在結合自己HBM技術和台積電的先進製程代工,將會誕生無與倫比的產品。
  • View More 矽光子.jpg
    半導體

    AI和先進封裝引爆矽光子商機,台灣矽光子產業聯盟成立

    SEMICON Taiwan 2024年活動首日宣布,台灣矽光子產業聯盟SEMI Silicon Photonics Industry Alliance(SiPhIA)正式成立,台積電與日月光為該聯盟的倡議人,在經濟部指導下,由台積電副總徐國晉擔任召集人,從IC設計、製造封裝、應用模組至終端產品和研究機構,包括工研院、波若威、上詮、鴻海、聯發科、廣達 、世界先進 、友達、辛耘、旺矽、穎崴等30家半導體企業共同參與,建構台灣矽光子生態圈。
     



    當前生成式AI大行其道,改變人類生活方式,更呼應昨天日月光執行長吳田玉所言,AI影響不單是在生活層面,甚至是金融、資訊、國防等攸關未來國家的競爭力。因此,政府也非常重視這次成立的矽光子產業聯盟,希望能成為台灣半導體技術轉型關鍵,培育更多半導體優秀人才。
     



    經濟部產業發展署長楊志清表示,台灣向來是全球半導體產業的資優生,為全球公認的半導體科技聚落,隨著AI浪潮在全球遍地開花,矽光子更是未來半導體產業最炙手可熱的技術,經濟部將協助台灣廠商穩固這波製造生產 AI晶片及AI伺服器的機會,讓台灣繼續引領全球半導體的發展。
     



    矽光子在紅什麼?
     



    矽光子不是現在才出現的新興技術,隨著AI時代來臨,帶火了矽光子技術!
     



    AI時代講的就是算力,但是算力增加的同時,代表熱能也會增加。因此,做出一顆AI晶片的關鍵,不是把性能做高就好了,更重要的是如何把散熱做好,以及如何降低能耗,這才是整個半導體產業技術走向的關鍵。
     



    在此背景下,大家發現矽光子能達到上述目的:用光來取代部分的電做傳輸,可以有效降低能耗。
     



    矽光子是在傳遞訊號過程中,利用「光子」取代大部分的「電子」訊號,就是看中光子的速度比電子快,且不容易產生熱能,可以達到更棒的訊號傳輸效果。
     



    矽光子其實有非常多的技術派別,台積電看好的CPO(Co-Packaged Optics)技術,是將光收發器等光學元件和CPU、GPU或通訊晶片等,以先進封裝方式整合在一起。
     



    SEMI預估,2030年全球矽光子半導體市場規模將達到78.6 億美元,CAGR達 25.7%。SEMI全球行銷長暨台灣區總裁曹世綸表示,矽光子作為突破摩爾定律所面臨瓶頸的關鍵技術,SEMI率先在台灣成立矽光晶片發展聯盟,在台積電和日月光帶領下,台灣半導體產業在矽光子領域能佔據領跑者優勢。
     



    除了台灣串連上下游半導體供應鏈攻矽光子商機,許多國際大廠早已經大舉投入開發,像是英特爾是最高實現矽光子商業化應用的企業,IBM也投入矽光子超過20年,博通在CPO技術上也實非強勢,未來在大型資料中心將採用CPO產品。
     



    另外,Nvidia也希望將矽光子導入GPU運算中,取代電子傳輸線路,可以將運算速度帶到另一個層次。看來,以後積體電路也可以叫「積體光路」!
  • View More 吳田玉.jpg
    半導體

    日月光吳田玉:硬體卡住AI發展,呼籲單一公司分享資源

    連于慧
    SEMICON Taiwan 2024即將開展,今年SEMICON Taiwan的大師論壇中,三星和SK海力士兩大記憶體廠首度同台,成為該展覽一大亮點。日月光執行長吳田玉在今日展前記者會中表示,眼前的半導體產業是他從業40年以來,第一次看到硬體居然會成為新機會的瓶頸,不管硬體做再太快,軟體都馬上可以全部用光光,目前這情況雖然只發生在AI雲端,但一葉知秋,顯見未來在發展邊緣運算、機器人的路上,都需在各種技術層面努力突破。
     



    吳田玉指出,在新冠疫情期間,半導體產業第一次被推上全世界的第一線,當時台灣只是配角。這次AI浪潮不一樣,台灣製造業被推到全世界舞台的第一線。
     



    他更指出,現在全世界的AI客人會根據自己的想法,把所有壓力集中在台灣的少數公司,希望能在最短時間內獲得最大利益,當全世界在舞台上競爭,而你就是那個瓶頸的時候,我們得到的好處和機會是什麼? 
     



    隨後吳田玉又表示,今天AI的問題不是單一封裝、晶片、系統廠商能解決,過去把晶片做好就能解決80%問題的時代已經過了,現在應該是全產業的人合作,半導體人應該要讓全世界的好朋友聚在一起分享資源,在最短時間抓到比較正確的方向,用團隊的力量彌補單一公司資源和時間的不足。
     



    他的言下之意,難道是在呼籲台積電應該要分享一下獨步全球的AI硬體晶片CoWoS先進封裝的技術或是訂單?
     



    國際半導體產業協會(SEMI)研究資深總監曾瑞榆對全球半導體市場發展趨勢也有詳盡解析,以下是幾個重點:
     




    2024年的半導體營收每一季和去年同前比較都成長超過20%,細究原因:除了庫存回補,主要反映兩大驅動力:AI和記憶體產業的復甦。





    2024年如果不算記憶體,半導體營收成長只有10%,假使再拿掉AI應用貢獻,那2024年半導體營收成長則只有3%。





    2024年半導體產業成長高度依賴AI和記憶體,展望2025年,無論是通訊、電腦、工業、車用等領域預計都會復甦。尤其是目前需求最弱的車用和工業用領域,到了2025年上半庫存會到新低點,2025年可望有回補庫存機會。





    中國在2023年下半~2024年上半,對於半導體設備的投資高速成長,細究背後原因:建構自己成熟製程的國產化供應鏈產能,以及擔心未來有更強烈的出口管制制裁,因此提前購買非常多的半導體設備。





    半導體設備投資軍備競賽,各國大舉投入下是否會造成產能供過於求? 從資本密集度(半導體銷售/設備投資)來觀察,根據過去30年經驗,全球半導體的資本密集度平均約在15%,但2023年居然達到20%,一旦資本密集度接近20%時,根據經驗確實有可能有供過於求發生。但這次各國的高度資本投資,並非反應正常市場供需,而是地緣政治下,各國對於半導體設備和產業鏈建置的軍備競賽。





    封裝與測試產業在經歷兩年下滑後,2024年測試產業會有7%成長,封裝有10%成長,而這兩個產業在2025年都會有超過20~~30%成長。







    DRAM方面,2024年和2025年有大幅度成長,尤其2025年DRAM投資會達到190億美元近年高點,關鍵原因是記憶體大廠對於HBM投資。





    NAND Flash方面,2023年和2024年維持低檔的投資,2024年甚至比2023年還低一點,因為2023年有來自中國的投資,但2024年變少。展望2025年,預計NAND Flash投資會恢復,但主要並非新產能,而是製程微縮。2024年NAND Flash產業最大課題是把產能利用率拉高,把之前減產的復產,未來重點會是把3D NAND的層數拉高,終端主要的驅動力是企業級SSD帶動。





    12吋晶圓設備投資:

    2023年~2024年:成熟製程投資帶動。

    2025年~2027年:預計會有連續三年高速成長,2025年12吋設備投資更上看1200億美元,2026和2027年投資會分別超過1400億美元。
     



    淺談一下各區域半導體廠的投資:


    中國:2024年半導體投資金額高達500億美元,這完全創下單一區域半導體投資的歷史新紀錄。不過,中國高速投資半導體的腳步,預計到2027年會恢復正常水準,預估當年度的投資金額會降到350億美元。
     



    除了中國之外,到2017年無論是韓國、台灣、日本、歐洲、美國、東南亞的半導體投資金額都是上升。
     



    美國:到了2027年,美國在晶圓廠上的投資會到與台灣、韓國並駕齊驅,都有超過300億美元水準,以CARG來看,2023~2027年達到22%。
  • View More K.PNG
    半導體

    台積電張曉強:摩爾定律是否已失效? I don’t care!

    台積電全球業務及海外營運辦公室資深副總暨副共同營運長張曉強接受TechTechPotato YouTube頻道接專訪中談到關於摩爾定律、CoWoS、A16製程技術的看法,以下是部份內容整理:
     





    很多人說摩爾定律已經失效,台積電怎麼看?



    我不在乎。只要我們能夠繼續推動技術進步,我不在乎摩爾定律是否有效。



    許多人只是基於平面微縮two-dimensional scaling對摩爾定律進行了狹隘的定義,事實上已不是如此。看行業內許多創新可知道,我們仍在繼續尋找不同的方法,將更多功能和更多能力整合到更小的外形尺寸。我們繼續實現更高性能和低耗電。因此,從這個角度而言,我認為摩爾定律或技術微縮的步伐持續。我們將持推動產業向前發展。
     



    有收到過來自客戶的令人驚奇的要求嗎?



    不會。我們與客戶密切合作,同時保持開放,確保客戶選擇正確的技術。請記住,我們是晶圓代工業務,目標是幫助客戶實現成功的產品。我的老闆常常告訴我:“我們是晶圓代工業務,要與客戶共同努力以取得成功,但有一個順序,客戶必須先成功,然後我們才能成功。”
     



    Nvidia、AMD、英特爾對CoWoS需求量都很大,目前台積電擴產的進展如何?



    對我們來說,CoWoS 是 AI 加速器的主力。你可以看到目前所有的大型 AI 加速器設計,幾乎都是基於台積電 N5 或 N4 技術加上 CoWoS為主。



    我們正在迅速擴大 CoWoS 產能,複合年增長率遠高於60%。這個數字非常高,但仍在繼續增長,我們與客戶密切合作,確保滿足他們最關鍵的需求。



    上述是指CoWoS產能,同時我們也在擴大自身CoWoS的能力。



    目前最先進的AI加速器,CoWoS 中介層尺寸大約是光罩尺寸的3倍,而光罩尺寸約為800 平方毫米,這提供了集成全光罩尺寸SoC,以及最多8個HBM堆疊的能力。但在兩年後,我們將能夠將中介層尺寸擴大到光罩尺寸的 4.5 倍,讓我們的客戶整合最多12個HBM堆疊。往前看,我們的研發團隊已經開始將 CoWoS 中介層尺寸擴大到光罩尺寸的 7 倍或 8 倍。
     



    12個HBM堆疊夠嗎? 大家想要更多!



    台積電也宣布了另一項創新的系統級整合技術:晶圓系統 (SoW)。你想,晶圓加工設備所能製造的最大尺寸是單一300 毫米晶圓,因此我們將晶圓作為基礎層,並將所有邏輯和高頻寬DRAM 整合在一起,以整合整個晶圓區域。因此,如果你使用 CoWoS 術語來衡量,中介層尺寸的「X」數是 40 倍,非常龐大。這就是我們為客戶提供的服務,以繼續整合更多運算功能和更多記憶體頻寬,滿足未來AI需求。
     



    A16製程技術和全新Super Power Rail 技術,帶來哪些創新?

    A16 是一項重大的技術改進,採用奈米片電晶體,是業界領先且最先進的電晶體架構,特別適合HPC 和 AI 應用。



    同時,我們也增加創新的背面供電設計,這樣的設計可以讓客戶將電源佈線從正面移到背面,進而騰出空間來提高效能,同時改善電源。



    我們的方法與傳統的 BSPDN 設計非常不同,在傳統的背面電源軌中,你只需鑽孔即可將背面金屬連接到正面金屬,但這樣做會佔用空間,並且必須擴大庫單元的佔用空間。在我們的設計中,採用了非常創新的方法,將觸點或電晶體、電晶體的源極移到背面,而不會改變庫單元的佔用空間。
     



    為了實現這一目標,是否會讓傳統的製造步驟會有些混亂?



    是的。但我不想討論特定的流程步驟,我們的研發團隊不會很高興聽到這樣的討論。
     



    這樣就像三明治設計:電晶體、訊號和電源,肯定會增加很多製造成本吧?



    這是肯定的,但如果你看密度、功率和效能的優勢,我認為它的價值遠超過成本。這對HPC和AI尤其重要,因為節能運算是關鍵驅動因素。
     



    是否選擇使用A16製程技術,也必須要採用超級電軌Super Power Rail)這種背面供電的設計?



    A16製程本身定義就擁有超級電源軌,但我們也提供了技術選項,讓我們的客戶可以繼續利用現有的設計資料,而不必使用背面供電。例如,在電源佈線較不密集的行動應用中,您不必使用背面供電。
     



    台積電得A16製程會在什麼時候推出呢?



    我們的目標是在 2026 年下半年為主要客戶投入 A16 生產,從台灣開始生產。
     



    關於導入ASML新一代高數值孔徑EUV設備,台積電怎麼想的?



    回顧一下,台積電是業界第一個將EUV引入大量生產的公司,就EUV的生產使用和生產效率而言,我們今天仍然處於領導地位。我認為我們的研發團隊將繼續研究新的 EUV 功能,顯然包括高數值孔徑high-NA EUV,有很多考慮因素,像是可擴充性和成本等。
  • View More qualcomaipc.jpg
    半導體

    高通AI PC首戰未能叫好又叫座,英特爾開始被期待?

    高通為AI PC敲鑼打鼓了一年,更是強力主打口號“PC正在重生”,試圖將AI PC的氣勢炒到最高點。只是,近期不少評測報告都反應AI PC有遊戲功能跑不動、無法執行部分軟體的問題,演變成高通+微軟打頭陣的AI PC大戲,後續恐有叫好不叫座的隱憂。
     



    過去數十年來,PC的運作都是微軟Windows+英特爾x86處理器的組合。1990年代起,「Intel Inside」更是所有PC和NB的正字標記,標誌著英特爾的光輝歲月。但這次的AI PC時代揭幕,打頭陣的處理器居然不是英特爾,而是「Arm Inside」的高通處理器晶片。
     



    「Arm Inside」的處理器在PC領域中蠶食鯨吞,關鍵里程碑應該算是2020年蘋果推出M1,宣布放棄用了20年的英特爾架構,成功採用自研的Arm架構處理器,成為全球第一家PC產品而不用依賴英特爾或是AMD的公司,更讓全球的晶片開發商更積極探索Arm架構應用在PC的可行性。
     



    然而,這次AI PC大戲登場後,意外地市場居然又開始期待起英特爾了,這是怎麼回事?
     



    隨著各品牌的AI PC在市場上開賣後,很多評測主都紛紛發現採用高通Arm架構的Snapdragon處理器的AI PC,居然無法執行遊戲和部分程式,暴露出Windows on Arm的最大缺點是軟體相容性問題。
     



    不得不說,蘋果M1捨棄英特爾而採用Arm架構處理器,不是Arm有多厲害,是蘋果夠強大。同樣是採用Arm架構,這次高通領銜主演的Windows on Arm不像蘋果這麼強運,而是又再一次遇到困難。
     



    Windows on Arm始終面臨開發者工具的兼容、開源環境等問題,當然有人把問題核心指微軟,認為微軟的開發者工具鏈一向不友善Arm,而開發者在Windows on Arm的體驗性不佳,導致對x86的黏著度更高,變成一個彼此責怪的負循環。
     



    此前,英特爾曾公開表示,Arm以模擬方式支援PC軟體,但使用者的體感仍與x86平台差距甚遠,會持續凸顯英特爾耕耘長久的生態鏈價值。
     



    回過頭來,也可以說蘋果自己研發的Arm架構處理器會如此成功,是因為是跑自家OS系統,畢竟蘋果也無法甩鍋給微軟。
     



    採用高通處理器的AI PC當然也有很多優點,第一是省電續航的表現力非常出色,可以維持一整天時間。二是可以在離線狀態下也可以使用大型語言模型(LLM)功能。基本上只要不跑太複雜的軟體,不會出什麼太大問題。簡而言之,Windows on Arm處理器陣營的AI PC最大賣點是省電、續航,其他軟硬體的問題先暫時不要要求太高。
     



    對比AI PC正式發布之前的雄心勃勃,高通的首波並未如預期收割各種溢美之詞,但這只是高通進軍PC的第一步,還有進步空間是正常的。
     



    外傳,微軟和高通的AI PC的獨家合作協議到2024年底,2025年聯發科和Nvidia合作開發Windows on Arm處理器會接著問世,接棒炒熱Windows on Arm處理器,更進一步挑戰x86架構CPU地位,而英特爾領銜主演的x86版本AI PC即將第三季上市。AI PC確實讓PC重生,對Arm、英特爾,甚至是對高通、聯發科這些傳統手機晶片的玩家,未來都是重要戰場,而最大贏者可以是消費者,大家可以多看看、多比較,然後做出最棒的購物選擇!

    相關新聞:
    COMPUTEX「面子」讓給黃仁勳,高通賺「裡子」就盆滿缽滿

    AI PC大戰開打,高通拿下首局勝利

    生成式AI的時代弄潮兒,高通如何成為接棒者?
  • View More qualcomm.PNG
    半導體

    COMPUTEX「面子」讓給黃仁勳,高通賺「裡子」就盆滿缽滿

     
    COMPUTEX 2024最大贏家是誰? 教你「一個COMPUTEX,各自解讀」的秒招。
     



    Nvidia黃仁勳:這還用說,當然是我!連續兩周全台灣媒體從早到晚都跟著我,還有熱情的女粉絲挺胸而出要簽名,蘋果庫克有這種待遇嗎?
     



    英特爾基辛格:做人要以德服人,怎麼可以用「吃」服人!你看大家都椰榆我,每一家都說CPU性能比我好,我有翻臉嗎? 我還不是陪笑臉到處簽名拍照!論胸襟,我才是真正的大贏家!
     



    高通艾蒙:我就問一句,現在上市的AI PC哪一家不是用高通,有誰用x86? 我不是最大贏家,誰是最大贏家!



    (以上均為人工設計告白)
     



    這次COMPUTEX最大賣點就是AI PC,2024年下半賣得好不好還不知道,但所有品牌PC廠第一波都是用高通的解決方案,說高通是贏了「裡子」的最大受益者,是一點也不為過。
     



    高通總裁暨執行長艾蒙Cristiano Amon穿上他每次在夏威夷峰會都會穿,且印有高通Snapdragon紅色大LOGO的招牌小白鞋,迎戰COMPUTEX 2024高喊:「The PC Reborn!」
     



    在智慧型手機、PC、車用,大家對高通的處理器驍龍(Snapdragon)都不陌生,「X Elite」是高通在驍龍平台架構下,推出全球第一顆能支援微軟Copilot+算力需求的AI PC處理器。
     



    高通指出,搭載驍龍XElite系統的Windows筆電,電池續航力將是傳統PC的兩倍長,在運作部分AI功能時,耗能效率更提高超過100倍,且高通的驍龍X和微軟CoPilot+將進入「所有的PC形式」,合作夥伴包括宏碁、華碩、戴爾、惠普、三星、聯想。
     



    過去PC上的處理器一向是英特爾、AMD、蘋果M系列晶片獨大,高通的優勢在智慧手機上,但為何微軟在進軍AI PC領域時,會率先選擇與高通合作,而不是循過去Wintel(微軟Windows+英特爾Intel)的路線?
     



    在CPU中加入NPU(神經處理單元),是在PC中實現AI效能的路徑,非常適合運行大型語言模型和複雜的演算法。高通成功實現了透過全新打造的NPU帶給AI PC更好的性能,功耗表現也更優異。
     



    在性能方面,比蘋果M3高出2.6倍、比英特爾的Core Ultra 7高出5.4倍。在CPU上,Snapdragon X Elite在相同ISO功率下提供51%更快效能,CPU達到相同峰值效能時功耗較競品低65%。
     



    根據微軟對於AI PC定義,NPU須具備40 TOPS的算力,16GB記憶體、256GB SSD等硬體標準,加上可存取最先進AI模型且具備全天電池續航力。
     



    艾蒙講得更直接:搭載x86架構是「昨日的電腦」,內建高通處理器的AI PC才是「明日的電腦」。
     



    難怪在這次COMPUTEX期間,高通在捷運廣告看板、捷運車廂撲天蓋地做足宣傳廣告高喊「The PC Reborn」,高通確實是AI PC硬體上的最大贏家!
     
    相關新聞:

    英特爾基辛格放下「傲慢」擁抱台灣,應給予掌聲

    30年前,張忠謀主動打電話給黃仁勳,開啟兩家公司合作情緣 分享至Facebook

    Arm新一代CPU+GPU,聯發科天璣9400採用,首發機種vivo X200系列 分享至Facebook

    美光COMPUTEX進行武力展示,HBM進度成為全場焦點
  • View More 大基金三期.jpeg
    半導體

    中國大基金三期撒480億美元鎖定三大目標:AI晶片、ASML機台替代、HBM存儲

    中國國家積體電路產業投資基金(大基金)三期於2024年5月24日成立,註冊資本3440億RMB(約480億美元),超過大基金第一、二期總和,預計三期會投入三大領域:先進製程晶圓代工解鎖AI算力晶片、解決被ASML卡脖子的曝光機技術,以及擴大NAND Flash和DRAM產能和研發生產HBM晶片。
     



    大基金第三期第一大股東為財政部,持股17.44%,合計共19 位股東,相較第二期27個股東減少。 最值得關注的是,這次地方政府只有北京、上海、廣東三地,不同於過還有合肥、武漢、成都、重慶等。 若要解讀更深一層意義,應該是投資力量更集中,不再像過去讓半導體投資、晶圓廠遍地開花。
     



    大基金三期的成立,除了資金是一、二期的總和超達3440億RMB,總註冊資金3440億大致可分為四類:
     

    • 中央財政 1060億


     財政部600億
     國開金融360億
     國家開發投資集團100億


    • 地方國資950億(主要北京、上海、廣東三地)


    北京國資350億,其中亦莊國投200億、北京國誼億元150億
    上海國資300億,由上海國盛出資
     廣東國資300億,其中深圳鯤鵬170億、廣州產投90億、粵財投控40億


    • 銀行1140億


    中國建設銀行215億
    中國銀行215億
    中國農業銀行215億
    中國工商行215億
    交通銀行200億
    郵儲銀行 80億


    • 央企290億


    中國誠通100億
    中國菸草100億
    華潤集團 50億
    中國移動 40億





    大基金三期到底會投資在哪些領域?  根據研判,會聚焦在三大方向:




    第一,先進製程的晶圓代工製造和先進封裝如CoWoS等。 第三期會著重在佈局半導體製造,但不會是成熟製程,因為中國的成熟製程產能已經過剩,真正缺乏的是AI晶片算力,尤其是Nvidia的GPU平替方案。
     



    現在中國有非常多GPU、AI加速卡設計公司像是壁刃、摩爾線程、沐曦、天數智芯、燧原,CPU公司有海思、兆芯、龍芯、飛騰、海光、申威等,但沒有先進製程,預計大基金三期會在這部分著力。
     



    第二,擴大NAND Flash和DRAM記憶體晶片的產能,並且朝向HBM發展。 中國內需的記憶體晶片用量非常大,且中國的武漢長江存儲和合肥長鑫已經量產,前者卡在設備被禁運,後者要朝更高技術開發生產,相較於AI晶片,中國的記憶體晶片完成國產替代概率更高且是現在進行式,大基金三期目標是擴大產能,增加市佔率。
     



    日前合肥長鑫、通富微、華為已申請HBM技術相關專利,長鑫也與通富微合作開發HBM晶片,一步步邁向HBM3。 大基金三期是要解開AI算力瓶頸,儲存技術端的HBM研發也要同步配合。
     



    第三,進一步完成半導體關鍵設備與材料的國產替代,由重點在光刻機、光阻等,像是蝕刻等機台國產化產品已經非常成熟,未來重點會是ASML替代的產品。 要說替代太沈重,但未來ASML不單是極紫外光EUV機台不能進入中國,部分成熟製程DUV設備自2024年開始都會落實禁運,因此替代ASML機台會是未來中國在國產設備領域研發的重點。
     



    其實,大基金二期已經重點扶植半導體設備和材料,也帶動許多民營投資基金大舉投入這兩大領域,導致設備和材料成為兩大最「卷」的領域。 但光刻機的研發與投資不是民營投資做得來的,需要規劃性帶領。
     



    三期的註冊金額為470億美元,實際募款額應該更大。 尤其,如果要肩負先進製程、ASML機台開發、記憶體晶片擴產等三大任務,需要更多的資金。 台積電光是一年資本支出就要300億美元。 另一個觀察點是,根據向大基金三期注資的銀行公告,出資額將在10年內實繳到位,470億美元金額看似很大,但出資方分10年投入其實也還好。
     



    大基金第一期成立於2014 年,註冊資本987億,總募資規模達1387億RMB,重點投向晶片製造領域,撬動了5,145 億元社會資金(包括股權融資、企業債券、銀行等金融機構貸款 )。 大基金第一期於2018年投資完畢,細數投資標的,製造67%、設計17%、封測10%、設備和材料類6%,被投企業包括晶圓代工廠中芯國際、上海華虹 、長江存儲、紫光展銳、華大九天、三安光電、長電科技、北方華創和中微半導體等。
     



    大基金二期成立於2019年10月,規模超過2,000億RMB,投資標的涉及全產業鏈,半導體製造佔比高達75%、EDA/設計佔10%、封測2.6%、設備及材料10%, 以及少數的應用類。 大基金二期最大投資為中芯國際,其他重點投資聚焦在設備和材料,包括刻蝕機、薄膜設備、測試設備、清洗設備、矽晶圓、光刻膠、光罩版、電子特氣等。

    相關新聞:

    台積電延續兆元市值的秘密,都藏在這一張圖裡

    50%關稅加上去,恐讓中國成熟製程產能過剩更嚴重,「國產化」速度勢必提前

    慧榮苟嘉章:AI帶動伺服器強大需求,NAND Flash價格下半年續漲
  • View More SMI.JPG
    半導體

    慧榮苟嘉章:AI帶動伺服器強大需求,NAND Flash價格下半年續漲

    要說AI拯救全世界是一點也不為過!2022年底OpenAI刮起的炫風,到2024年都還是熱騰騰的巨大商機。NAND Flash控制晶片大廠慧榮SMI總經理苟嘉章指出,Nvidia囊括AI領域90%市占,其霸主地位在未來3~5年內都很難被撼動,且未來AI算力從雲端會逐漸下放到邊緣端,對存儲產業而言會是史上難得一見機會!
     



    即將登場的COMPUTEX 2024也是宛如全球科技界的AI盛會,不但Nvidia、AMD、英特爾、高通、Arm等科技巨頭齊聚一堂,除了AI帶動伺服器和資料中心繼續火熱,更有AI PC、生成式AI手機題材要開始發酵,AI幾乎拯救了全世界的科技產業!
     



    苟嘉章也認為,受惠資料中心對於NAND Flash的需求旺,雖然現貨通路端買氣不佳,但資料中心的需求非常強勁,需要的存儲容量從原本4~8TB增加到32TB,估計NAND價格漲到2024年下半年沒問題,一直到2025年上半年目前都沒看到敗象。
     



    從供給端來看,苟嘉章也分析,NAND Flash原廠2024年才剛剛轉虧為盈,開始賺錢而已,恢復正常生產會循序漸進,且不會躁進馬上擴建新晶圓廠,大家有志一同以利潤為優先,先把虧的錢賺回來再說。
     



    SK海力士第一季毛利率39%,美光20%,據了解,至少要等這些NAND Flash原廠的毛利率連續數季站穩40%以上,才會考慮增加新產能,至少今年都會是NAND Flash存儲產業的甜蜜年。
     



    存儲產業在供給端的另一個觀察是各大廠的軍備競賽都集中在DRAM HBM記憶體。最近才傳出三星的HBM3過熱,沒通過Nvidia測試,三星要取代SK海力士成為HBM龍頭的夢想,只能再等等,日前三星才宣布半導體負責人換帥,就是因為AI進度落後之故。
     



    業界透露,其實SK海力士現在的HBM技術團隊,其實當初是從三星過去的,三星現在應該是悔不當初。事實上,三星這幾年在Foundrr和Memory兩大關鍵版圖上都狂掉隊很明顯,這與接班人李在鎔2017年入獄有很大關係。這麼大的財閥企業群龍無首,估計各個事業部的負責人也不敢拍板做大決定,等到2021年李在鎔特赦出來,世界早已經出現翻天覆地的變化,現在三星要奮力追趕,自然需花上更多的功夫。
     



    苟嘉章的觀點是,三星在記憶體領域長期奠定很深遠的記憶實力,未來在HBM發展上仍是很有機會,且現在最著急的人應該是Nvidia,因為如果HBM主要供應都掌握在一家手裡,Nvidia的AI產品在產能和價格上會一直無法取得更高主導權,因此Nvidia一定會協助三星HBM技術盡快有突破。
     



    另外,他也表示QLC NAND也很適合用在資料中心,慧榮會和NAND Flash大廠、模組廠、服務器廠商一起推動AI發展。另外,生成式AI手機會是一大機,平價手機也需要AI功能,未來各項應用對於存儲需要的容量會呈現爆炸性成長。

    相關新聞:

    台積電延續兆元市值的秘密,都藏在這一張圖裡

    AI PC大戰開打,高通拿下首局勝利

    盧超群:DRAM回暖旺到2025年,報價重回疫情前水平

    群聯:不跟進中國模組廠低價拋售NAND Flash,下半年AI PC生意樂觀
     
  • View More 張曉強.JPEG
    半導體

    台積電延續兆元市值的秘密,都藏在這一張圖裡

    今天台積電技術論壇上,2024年3月升任共同營運長,現任台積電業務開發、海外營運辦公室資深副總暨副共同營運長的張曉強現場金句連發:
     




    台積電做系統整合超過20年,領先推出CoWoS技術,我相信在座各位都可以拼出C-o-W-o-S-.......有天我發現連電視台主播都會拼這個詞,你要是沒聽過CoWoS,大概是外星人了!

     


    過陣子也不用我和Cliff上台來演講了,create一個AI來講就好!

     


    (現場張曉強show出一張PPT標誌著Nvidia近代GPU產品採用台積電製程技術的性能成長曲線直線向上)他說:AI發展快速,Nvidia產品從V100採用N12、A100採用N7、H100採用N4,一直最新一代Blackwell採用N4P製程+CoWoS封裝讓算力成長1000倍,這迅猛的長曲線讓人想到了昨晚的Nvidia股價.......

     


    台積電今日技術論壇中,現場含金量最高的一張圖應該是3D Integrated HPC Technology platform for AI。張曉強說這張圖是“Money Sheet”(既然價值連城,就不在此大放送!其實是因為現場是禁止攝影)從現場的圖上看,是一款用于HPC和AI的新封裝平台,並以矽光子來改善互聯。他表示矽光子技術已經量產,只是這是第一次引入HPC中,用在Data Center。

     


    如果有人要寫台積電歷史,一定要提到7nm,這是台積電第一次提供全世界最先進的技術,在此之前都是IDM。之後台積電在2020年更領先進入5nm製程,2023年進入3nm製程。
     




    以下是今日舉行台灣場的技術論壇幾個重點:
     




    AI將掀起第四次工業革命,2030年全世界將有10萬個生成式AI機器人,生成式AI手機出貨量將達2.4億支。

     


    為了滿足AI運算需求,3D堆疊、先進封裝技術越來越重要,未來幾年將實現單晶片上整合超過2,000億個電晶體並透過3D封裝達到超過一兆個電晶體。

     


    2024年3nm產能比2023年增加三倍,但還是不夠用!!

     


    2020~2024年,先進製程產能的年複合成長25%,特殊製程產能的複合成長率10%。車用晶片出貨複合成長率約50%。

     


    SOIC在2022~2026年的產能複合成長100%,CoWoS在2022~2026年的產能複合成長超過60%

     


    台積電從2019年正式使用EUV設備,目前全球56%的EUV機台都在台積電。

     


    N3E已依計畫在2023年第四季進入量產,客戶的產品良率相當好。台積電也開發出N3P技術,已通過驗證,目前良率表現接近於N3E。N3P已經收到了客戶產品設計定案tape-outs,將於 2024 年下半年開始量產。

     


    2nm是台積電第一次使用奈米片Nano-Sheet電晶體架構,目前進展非常順利,NanoSheet奈米片的轉換目標達90%,換成良率也超過80%,根據計畫2nm是2025年下半年量產。

     


    針對製程後段,會導入新製程與材料,將電阻/電容延遲(RC delay)降低高達10%。此外,為了強化功率傳輸,台積電也提供了超高性能金屬/絕緣體/金屬電容(SHPMIM),其容量密度是上一代技術的兩倍之多。

     


    台積電進入埃米(angstrom)時代的A16,結合2nm製程+超級電軌(Super Power Rail)架構設計。




     






    A16 技術的超級電軌(Super Power Rail)架構是一種創新的最佳晶圓背面供電網路解決方案。A16 將供電網路移到晶圓背面而在晶圓正面釋出更多訊號網路的佈局空間,藉以提升邏輯密度和效能。此外,它還可以改善功率傳輸,並大幅減少IR 壓降。

     


    再者,台積電的創新晶圓背面傳輸方案也是業界首創,保留了柵極密度與元件寬度的彈性,是具有複雜訊號佈線及密集供電網路的HPC產品的最佳解決方案。相較於台積公司的 N2P 製程,A16 在相同 Vdd (工作電壓)下,速度增快8~10%; 在相同速度下,功耗降低15~20%,晶片密度提升高達 1.10X。台積電計畫在 2026 年下半年量產。

     


    NanoSheet奈米片電晶體的下一代會是互補式場效電晶體CFET架構,藉由不同材料的上下堆疊,讓垂直堆疊的不同場效電晶體更靠近,改善電流且密度增加1.5~2倍。台積電強調CFET不是紙上談兵,研發已經成功驗證在wafer siliocon上。





    台積電指出,當電晶體架構從平面式(planer)發展到 FinFET,並即將轉變至奈米片(nanosheet)架構之後,公司認為垂直堆疊的 nFET 和 pFET (即互補式場效電晶體CFET)是未來製程架構選項之一。

     


    台積電進一步指出,內部一直在積極研究將 CFET 用於未來製程架構的可能性。在考量佈線和製程複雜性後,CFET 密度將可提升 1.5 至 2X,除了 CFET,在低維材料方面取得了突破,也可實現進一步的尺寸和能源微縮。再者,台積電也計畫導入新的互連技術,以提升互連效能。首先,對於銅互連技術,計畫導入一個全新的通路結構(via scheme),進而將業界領先的通路電阻(via resistance)再降低 25%。再者,計畫採用一種全新的通路蝕刻停止層(via etch-stop-layer),可降低約6%的耦合電容。還有,正在研發一種新的銅阻障方案(Cu barrier),可降低約 15%的銅線電阻。除銅互連外,台積電也在研發一種含有氣隙的新型金屬材料,可降低約 25%的耦合電容。另外,嵌入石墨烯(Intercalated graphene)也是一種極具前景的新材料,可大幅縮短互連延遲。
     




    TSMC 3DFabricTM技術方面,包含三大平台:TSMC-SoIC、CoWoS和InFO。
     


    SoIC 平台:用於 3D 矽晶片堆疊,並提供 SoIC-P 和 SoIC-X 兩種堆疊方案。SoIC-P是一種微凸塊堆疊解決方案,適用於講求成本效益的應用如行動裝置。CoWoS 平台包括成熟度最高、採用矽中介層的 CoWoS-S,以及採用有機中介層的CoWoS-L 和 CoWoS-R。InFO PoP 和 InFO-3D 適用於高階行動式應用,InFO 2.5D 則適用於高效能運算的小晶片整合。另外,根據產品需求,SoIC 晶片可與 CoWoS 或 InFO 整合。
     





    適用於 3D 小晶片堆疊技術的 SoIC:SoIC-X 無凸塊堆疊解決方案,無論是現有的 9 微米鍵合間距前到後堆疊方案(front-to-back scheme),還是將於2027 年上市的 3 微米鍵合間距前到前堆疊方案(front-to-front scheme),裸晶到裸晶(die-to-die)互連密度均比 40 微米到 18 微米間距的微凸塊前到前堆疊方案高出 10X 以上。台積電的SoIC-X 技術非常適用於對效能要求極高的各類HPC應用。
     





    台積電更指出,看到客戶對於 SoIC-X 技術的需求逐漸增加,預計到 2026 年底將會有 30 個客戶設計定案tape-outs。

     


    CoWoS 技術:可將先進的 SoC 或 SoIC 晶片與先進的高頻寬記憶體HBM進行整合,滿足AI 晶片的嚴苛要求。台積電的SoIC 已透過 CoWoS-S 量產出貨,並計畫開發一種 8 倍光罩尺寸且具備採用A16 製程技術的 SoIC 晶片和 12 個HBM堆疊的 CoWoS 解決方案,計將在 2027 年開始量產。直至今年年底,台積公司將為超過 25 個客戶啟動超過 150 個 CoWoS 客戶產品設計定案tape-outs。

     


    台積電與Nvidia合作推出Blackwell AI 加速器,是全球首款量產並將 2 個採用 5 奈米製程技術的 SoC 和 8 個HBM堆疊整合在一個模組中的 CoWoS-L 產品。




     






    矽光子:台積電表示矽光子是共同封裝光學元件CPO的最佳選擇,因為其與半導體相容,且可與 EIC/PIC/交換器在封裝層高度整合。台積電創新的緊湊型通用光子引擎(COUPETM)技術透過最短路徑的同質銅-銅介面整合電子積體電路(PIC)和光子積體電路(EIC),進而實現超高速射頻(RF)訊號(200G/λ)。

     


    COUPE 解決方案可最小化使用面積,且具備光柵耦合器(GC)和邊際耦合器(EC),可滿足客戶的各式需求。台積電計畫在 2025 年完成小型插拔式連接器的 COUPE 驗證,2026 年將其整合於共同封裝光學元件的 CoWoS 封裝基板,藉此可降低 2X 功耗、將延遲降低10X。同時,台積電也探索一種更先進的共同封裝光學元件方案,將 COUPE 整合於 CoWoS中介層,進而將功耗再降低 5X、將延遲再降低 2X。


    相關新聞:

    50%關稅加上去,恐讓中國成熟製程產能過剩更嚴重,「國產化」速度勢必提前

    AI PC大戰開打,高通拿下首局勝利
  • View More ai pc 2.PNG
    半導體

    AI PC大戰開打,高通拿下首局勝利

    隨著Copilot+首次登場,AI PC大戰宣布開打!微軟宣布推出搭載高通Snapdragon X Elite和Snapdragon X Plus的 PC,徹底改變 PC的使用體驗。除了微軟自家Surface PC之外,更宣布宏碁、華碩、Dell、HP、聯想、三星等所有AI PC全都用高通的解決方案!
     



    微軟將「Copilot+ PC」定義為帶有NPU的Windows PC,除了有CPU、GPU外,還要結合強大性能NPU,建構出全新AI系統的架構,且電池續航力更強,單次充電可支援長達22小時影片播放。微軟表示「Copilot+ PC」效能比蘋果搭載M3晶片的15吋MacBook Air,還要高出58%。
     



    值得注意的是,微軟首批「Copilot+ PC」全都是搭載高通Snapdragon X Elite和X Plus處理器,包括微軟自家Surface PC,還有宏碁、華碩、Dell、HP、聯想、三星等合作推出AI PC。除了高通的解決方案,之後也會有搭載英特爾和超微處理器的機型問世。
     



    在這波PC熱潮中,高通找到著力點,正在重塑Windows PC生態系的效能領先地位,搭載於Snapdragon X Elite的領先NPU能為筆記型電腦提供最高的每瓦NPU效能,比M3高出2.6倍,比Core Ultra 7高出5.4倍。
     



    此款NPU整合高通Hexagon NPU架構,可以在超解析度(Super Resolution)等使用案例中提供高達每瓦24 TOPS的峰值效能。藉由高通Oryon CPU,Snapdragon X Elite在每瓦效能取得領先,PC CPU達到相同峰值效能時功耗較競品低60%。







    高通也宣布全球OEM合作夥伴推出了首批獨家搭載今日發表的搭載Snapdragon X Elite和X Plus的Copilot+ PC包括:
     



    宏碁:推出Swift 14 AI。Swift 14 AI 結合強大的Snapdragon X系列平台、Windows 11中的Copilot+功能以及Acer  PurifiedView 2.0 和Acer PurifiedVoice 2.0等解決方案,運用AI功能無縫提升生產力和創造力。此款產品提供配備2.5K觸控螢幕顯示器的選項,可實現沉浸式視覺效果,以獨家的Copilot+ PC設計脫穎而出,在正面配置獨特AI商標以及在觸控板上採用活動指示器(Activity Indicator)。
     



    華碩:將Snapdragon X Elite和X Plus整合到ASUS Vivobook S 15中,象徵個人運算的典範轉移。透過整合45 NPU TOPS和無可比擬的45W TDP,支援ASUS IceCool散熱技術,使用者將享受迅速的裝置上AI處理能力。ASUS Vivobook S 15配備15.6吋3K 120 Hz OLED顯示器。以上功能皆整合至輕薄的外形中,並提供整套的I/O埠,是多功能的隨身夥伴。
     



    戴爾:推出五款搭載Snapdragon X Elite和Snapdragon X Plus的全新筆記型電腦,為消費者和商用提供全面的產品組合,包括XPS 13、Inspiron 14 Plus、Inspiron 14、Latitude 7455和Latitude 5455,均具備卓越的速度和AI效能,以及突破性的電池續航力,提升運算能力並簡化任務。新裝置也採用NGAI,實現變革的AI PC體驗。
     



    惠普:新一代AI PC是專為Snapdragon X Elite平台,以及其專用的神經處理單元(NPU)而設計,每秒能夠執行45兆次運作(TOPS),可在裝置上運行語言模型和生成式AI。HP OmniBook X AI PC和HP EliteBook Ultra AI PC採用最強大的AI PC技術,電池續航力長達26小時,可在裝置上快速充電和進行AI功能最佳化,提高生產力。HP EliteBook Ultra也為商用消費者提供附加功能,包括Wolf Pro Security的 新一代防毒軟體(NGAV),透過硬體安全功能保護PC直達韌體等級,全面防護使用者憑證和其他關鍵資訊,獲得微軟安全核心PC指定(一種晶片到雲端的安全技術,提供安全身份、安全驗證和加密服務)和三年保固。
     



    聯想:推出聯想Yoga Slim 7x與聯想ThinkPad T14s Gen 6,為首款搭載Snapdragon X Elite的AI PC。這些筆記型電腦提供頂級每瓦PC效能和基於NPU的快速 AI 處理能力,每秒最高達45 兆次運作(TOPS)。Windows 11和Copilot+的強化功能支援離線存取LLM功能,提升創造力、生產力和安全性。
     



    微軟Surface:全新Surface筆記型電腦是迄今為止最快且最智慧的Surface筆記型電腦,現在採用超長的電池續航力和由Snapdragon X Elite和Snapdragon X Plus平台支援的全新AI體驗,尺寸包括13.8吋和15吋顯示器尺寸。
     



    三星:三星 Galaxy Book4 Edge配備頂尖的混合式AI整合功能,並搭載最快速且最強大的Snapdragon X Elite,使筆記型電腦實現45 TOPS NPU的運算能力。此裝置以納入連結最為緊密的Galaxy AI生態系。透過提供14吋和 16吋的Dynamic AMOLED 2X顯示器的選項,Galaxy Book4 Edge釋放全新等級的創造力和生產力,同時以直觀的功能和簡單的語言提示打破溝通障礙。這款裝置也將深受喜愛的Galaxy AI功能,像是Google的搜尋圈、即時翻譯和聊天助理導入更大尺寸的PC顯示器上。

    相關新聞:

    生成式AI的時代弄潮兒,高通如何成為接棒者?

    台積電:除了AI,沒一個能打的!!

    台積電揭示2nm以下最新A16製程,結合超級電軌與奈米片電晶體架構

    歡迎轉載文章,請註明出處:SEMICONVoice  
  • View More HBM1.PNG
    半導體

    盧超群:HBM良率轉順至少還要2~3年,對DRAM排擠效應剛開始而已

    鈺創董事長盧超群出席力積電銅鑼12吋廠啟用儀式時對《SEMICONVoice》表示,AI廣泛使用的高頻寬記憶體HBM要堆疊8層、16層,售價高達300美元~600美元,這在半導體產業根本是天價,而且還一堆客戶都搶著要買。
     



    盧超群進一步分析,現在HBM記憶體還在技術與良率的摸索期,良率瓶頸要完全打開至少要花上2~3年時間,因此HBM對傳統DRAM產能的排擠才剛開始而已。
     



    重點是,現在三大DRAM廠都在搶著爭奪HBM巨大商機,每一家記憶體廠都想當HBM老大,根本沒有力氣去對付傳統DRAM,更是傾公司所有資源和最優秀的工程師都去做HBM,預期下半年利基型DRAM產業會供給量不足,價格一定會水漲船高。
     



    DRAM業界人士更對《SEMICONVoice》透露,投產HBM記憶體不單有耗損wafer面積的問題,且HBM投產製程周期是DDR5的三倍之多,良率又還沒拉上來,現在HBM商機大爆發,但DRAM廠的生產和產能準備沒跟上腳步。
     



    尤其,過去兩年記憶體廠財報出現鉅額虧損,因此都沒有積極擴增新產能,只是把原本減產的部分逐漸恢復正常生產,但這對於未來AI時代所需要用到的DRAM和NAND Flash產能根本不夠,現在又有HBM技術瓶頸,未來DRAM產能會十分緊俏。
     



    或許你覺得現在終端需求還不好,但半導體產業鏈已經渡過漫長的庫存消化,等到PC、手機、伺服器的需求都恢復正常後,客戶和通路商開始會回補庫存,DRAM吃緊的問題會更加嚴重。
     



    市調機構TrendForce也發布報告指出,在403地震前,原先預估第二季DRAM合約價會上漲約3~8%,而最新統計出來的數據是上修漲幅大漲至13~18%。
     



    針對HBM排擠DRAM產能的程度,三星的HBM3e產品是採用1alpha製程節點,預計到2024年底將占用1alpha製程產能約60%,會排擠到DDR5供給量。尤其,第三季HBM3e進入生產放量的時間點,買方已經同意提前到第二季備貨,以防第三季HBM供應會出現短缺。
     



    TrendForce也統計,HBM位元需求可望高度成長,2024年將成長近200%,2025年再進一步倍增。2024年HBM產值占DRAM比重將超過20%,2025年將有機會突破30%。
     



    身為HBM龍頭的SK海力士也指出,DRAM產業需求確實之前較為疲弱,但下半年需求逐漸復甦,加上HBM會吃掉更多的產能,隨著越來越多DRAM產能挪移去生產HBM,傳統DRAM供應量勢必會減少,且慢慢地,供應鏈現有的庫存都會消化殆盡,看好DRAM後勢價格走勢。
     



    SK海力士也宣布,2024年HBM產能全部售罄,2025年產能基本上也賣完,為了鞏固SK海力士在HBM領域的領先地位,計畫5月會推出12層堆疊的HBM3E樣品,規劃第三季進入量產。
     



    更早前,美光也宣布2024年HBM產能全部售罄,2025年產能多數產能也都被預訂。HBM全球狂熱的程度,算是記憶體史上罕見!
     



    SK海力士為了鞏固HBM技術的領先地位,也宣布最新世代堆疊16層的HBM3E技術研發進度,目標是2026年進入量產。據了解,相較12層的HBM,SK海力士的16層HBM以相同的高度堆疊更多DRAM晶粒(die),更關鍵的是能同步減少DRAM厚度,並防止出現晶圓翹曲(warpage),SK海力士為克服這些技術難題,從HBM2E開始就採用領先的MR-MUF(Mass Reflow Molded Underfill)技術,並且不斷進行改良。
     



    在HBM大戰中,長年龍頭的三星居然成為SK海力士的手下敗將,日前傳出不服輸的三星,精挑細選集結了100名頂尖的工程師,組成一支HBM團隊,目的就是爭取Nvidia的HBM訂單,讓良率和品質能通過Nvidia的標準,進而一步步蠶食鯨吞SK海力士手上的訂單。
     



    三星目前的重心是在全球首款36GB 12層堆疊HBM3E,相較堆疊8層的HBM產品,堆疊12層的HBM3E可讓AI學習速度提升34%。三星總裁兼執行長慶桂顯Kye Hyun Kyung指出:“第一回合輸了,但第二回合是非贏不可。”

    相關新聞:

    三星DDR3將提前退役,HBM耗損Wafer面積是DDR5三倍,DRAM產業正處關鍵轉折點

    力積電銅鑼12吋廠啟用典禮,宣布切入CoWoS 先進封裝

    台積電揭示2nm以下最新A16製程,結合超級電軌與奈米片電晶體架構

    群聯:不跟進中國模組廠低價拋售NAND Flash,下半年AI PC生意樂觀