TAG :中國半導體

  • View More 比特.jpeg
    半導體

    比特大陸3nm挖礦機晶片出貨,台積電中國營收占比衝高,傳小米也會開案3nm晶片

    台積電2024年第二季中國區營收占比衝高到16%,相較上季僅9%,傳出比特大陸3nm製程挖礦機晶片開始出貨,帶動睽違已久的中國區營收占比衝高。據了解,除了GPU/CPU受到算力限制外,中國IC設計公司如挖礦機晶片、手機處理器晶片等對3nm製程都會陸續導入。




    台積電前十大客戶佔營收高達85%~90%,蘋果是始終不變的第一大客戶。過去華為海思曾經蟬聯多年台積電全球第二大客戶,也是在中國地區的第一大客戶,沒了海思後,台積電在中國區的第一大客戶算是紫光展銳,但整個營收規模和海思相比,差距非常遠。前幾年展銳可能還擠得上台積電前十大客戶的邊緣,這幾年比較難。
     



    除了蘋果是台積電始終不變的第一大客戶之外,第二大客戶則是由AMD、高通、聯發科輪流,但自從生成式AI興起後,2023、2024年、2025年,台積電第二大客戶的位子穩穩是輝達Nvidia,其他則有高通、聯發科、AMD、博通、英特爾、索尼和 Marvell等。
     



    之後英特爾在台積電的晶片代工出貨會慢慢增加,佔比和排名會往前靠,估計未來台積電前三大客戶就是蘋果、Nvidia、英特爾了!
     



    現在中國IC設計公司要開案7nm/5nm/3nm先進製程晶片,根據算力會有一些限制,像是高算力GPU/CPU這一類晶片幾乎是嚴格管制。為了要合乎規範,部分中國GPU公司甚至會重新設計降規版的晶片,以能順利在台積電開案流片。
     



    比特幣挖礦機ASIC晶片屬於例外,並不受出口管制。雖然挖礦機晶片需要的運算速度非常快,才能在系統中挖到加密貨幣,但這不是複雜的算力,挖礦晶片做的事情很單一,就只是加快挖礦速度,不需要其他功能。
     



    其實,對台積電3nm製程有興趣的中國IC設計公司不只比特大陸,當初最早在台積電流片3nm製程的是OPPO旗下的哲庫,專門做手機處理器晶片,但2023年中哲庫閃電收攤,留下業界一片錯愕!
     



    日前傳出,小米在手機處理器晶片上有意捲土重來,會先採用4nm製程晶片,之後也會有3nm製程的手機處理器晶片。未來小米在中國的手機處理器晶片市場,會遞補OPPO哲庫的角色。
     



    小米在2017年也曾推出過首款自研手機處理器澎湃 S1,是由小米和大唐聯芯一起開發的中低端手機晶片,當時採用台積電28nm製程,澎湃 S1首發於小米5C,但市場反應並不好。之後小米自研晶片改到周邊,推出影像晶片、充電晶片、電池管理晶片等,現在再度回到手機處理器主戰場,看看小米怎麼打這一局。
     



    回到比特大陸,台積電2024年第二季的中國區營收占比從上季9%暴衝到16%,傳出是比特大陸的3nm製程挖礦機晶片開始出貨。受惠3nm製程出貨,比特大陸可望再度成為台積電中國第一大客戶。
     



    比特幣挖礦機最早也是用CPU、GPU來挖,當年輝達Nvidia一度前後受惠遊戲、加密貨幣兩大波狂潮,造成GPU瘋狂大賣,萬人吹捧。等到這兩波熱潮下去後,沒想到2022年底ChatGPT突然爆紅帶動生成式AI熱潮席捲全球,這波AI狂潮對於GPU的狂熱,更勝當年的遊戲、加密貨幣。不得不說輝達Nvidia黃仁勳除了努力外,還有命中注定擋不住的超級好運!
     



    當時,比特大陸為了追求更快的挖礦速度,逐漸演進至開發專用的ASIC晶片,自此揭開比特幣挖礦機ASIC時代的到臨,當時輝達Nvidia、AMD則是趕快轉到以太幣挖礦需求,又讓GPU又大賺了一大波。
     



    比特大陸的“芯路”,2013年ASIC是採用55nm製程,後來轉進28nm製程,關鍵一役是2017、2018年進入16nm製程,當時成為中國第一家導入16nm製程的IC設計公司,衝得比華為海思還要快,比特大陸一戰成名。
     



    比特大陸也因為搭上中國第一批導入16nm製程列車,當時直接取代展訊成為中國第二大IC設計公司,甚至直逼第一大的華為海思,一度名列台積電全球前五大客戶,2017年占台積電整體營收超過10%。只是,加密貨幣市場起伏劇烈,都要先給台積電預付貨款,且比特大陸也曾跨入開發AI晶片,只是很快收攤結尾。

    相關新聞:

    台積電預防反壟斷和關稅大刀,「自宮」市佔率降至28%

    美國擴大中國成熟製程關稅力道,傳晶片產地認定將追溯至前段半導體製造

    中國成熟製程殺價搶市占奏效!宣布繼續擴產,CIS、面板驅動IC高度承壓
  • View More chip.JPEG
    半導體

    美國擴大中國成熟製程關稅力道,傳晶片產地認定將追溯至前段半導體製造

     
    經歷競選活動上的槍擊事件後,川普在本屆美國總統大選是勝券在握,無論是拜登或川普,美國進一步強化關稅政策的方向是一致的。近期有消息指出,美國對中國半導體的下一個目標是成熟製程,但方向上不是管制成熟製程的機台設備,而是拉高關稅門檻的同時,從嚴認定晶片產地,認定標準從原本的最後封裝地點,改為追溯至晶片的前端製造和光罩產地。




    拜登政府已經宣布對中國大陸製造的電動車、半導體、鋰電池等開徵或提高關稅。其中,針對半導體關稅自2025年將由目前的25%提高到50%。




    川普這次競選主張的關稅政策,特別針對中國的半導體產品拉高關稅到60%。可以看出,無論是川普或拜登當選,都是朝向針對中國製造的半導體提高關稅,這會加速外商訂單移出中國製造,所有輸往美國的電子產品減少使用中國製造的半導體晶片和零組件。




    值得注意的是,川普是「全關稅」政策,意即所有美國以外的商品都要加稅,至少會徵收10%關稅。以半導體晶片而言,台灣、韓國製造的晶片可能也都會被加稅,因此,不排除IC設計客戶會在下半年開始提前趕單、搶投片、搶加單,避免川普上台後,可能會從嚴執行的關稅策略。




    美國阻止中國半導體發展的主要武器,其實一直以來都不是關稅。而是鎖定高階製程技術、人工智慧AI算力,利用出口管制、實體清單等政策,加上拉攏荷蘭、日本等盟友,以限制半導體設備和材料出口到中國的方式,來阻擋其發展。




    尤其在人工智慧AI方面,更是限制Nvidia、AMD、英特爾的AI相關高階算力晶片出口到中國。不過,美國並未限制中國企業租借雲端算力,算是留了一道口,部分美國企業會將AI伺服器的雲端算力,租借給中國企業使用。




    隨著高階製程技術、AI算力的防堵佈局完成,美國逐漸轉向中國的半導體成熟製程。




    由於中國的先進製程發展,其機台設備的採購受到美國出口管制嚴格限制,因此中國逐漸將重心移到成熟製程產能的擴充。另一個原因是,28奈米到40/55奈米的半導體製程,其實對終端產品而言的應用範圍最廣。因此,成熟製程產能成為中國半導體廠擴產的重點。




    不過,中國經歷多年來積極擴產成熟製程產能後,也開始陷入嚴重產能過剩,殺價競爭的循環中。




    美國在封鎖中國高階製程和AI算力晶片後,開始將目標放向中國的成熟製程。之前,許多中國半導體廠擔心成熟製程的機台設備會被限制採購,所以不斷提前購買成熟製程相關的設備,也間接使得許多美系和歐系半導體設備大廠的中國訂單占比不斷攀高。




    據了解,美國限制中國成熟製程的方法,不會是針對機台設備發出限制令,而是採用關稅壁壘,避免內含中國成熟製程晶片的產品,低價銷往海外。並且,認定標準會從最終封裝地點,改為追溯晶片和光罩產地是否是中國製造。




    另一個美國關稅壁壘產生的影響,是一旦川普真的採用全關稅政策,即使是台灣生產或韓國生產的晶片,都可能會被加稅。畢竟川普也曾說過台灣半導體搶走太多美國人的工作,應該要向台灣加稅。




    雖然關稅部分的不確定性太高,但已經讓部份業者感到憂心。同時,也在觀察,是否會因為擔心川普上台後的關稅政策,而在下半年會有提前下單的動作出現,屆時恐會再度打亂半導體供應鏈的正常供需狀態。




    另外,一旦美國針對中國半導體增加關稅,一來會加速當地外商的訂單轉出中國。另一方面,外商在中國當地的投資也會放緩或撤出,半導體封測廠商尤其明顯,包括日月光、京元電、力成、南茂等都陸續出脫當地轉投資的持股。

    相關新聞:

    台積電預防反壟斷和關稅大刀,「自宮」市佔率降至28%

    中國成熟製程殺價搶市占奏效!宣布繼續擴產,CIS、面板驅動IC高度承壓

    中國DRAM產能即將大軍壓進!台系記憶體廠陷入高庫存、低買氣的困局
  • View More 晶合集成.png
    半導體

    中國成熟製程殺價搶市占奏效!宣布繼續擴產,CIS、面板驅動IC高度承壓

    中國半導體在國產替代的大目標下,成熟製程經歷一波瘋狂大擴產,晶圓代工價格一度更是殺價成紅海。隨著中國智慧型手機市場需求自谷底回升,上半年面板驅動晶片、電源管理晶片、WiFi晶片急單湧入,中國12吋晶圓廠的產能利用率幾乎都是滿載,為了持續擴大市佔率,日前更是宣布繼續擴產。
     

    晶合集成2023年第四季的產能利用率95%,受惠手機零組件庫存逐漸消化,需求自谷底反彈後,從2024年3月起,晶合的12吋晶圓產能一直處於滿載狀態,6月產能利用率更達到110%。
     



    晶合指出,目前在手訂單超過現有產能,在供不應求下,公司決定繼續擴產,預計2024年總擴產約3萬~5萬片,主要以高階CIS產品為擴產主力,其次是面板驅動IC。
     



    值得注意的是,晶合的戰略目標是以價格戰來獲取市占率,之前為了從面板驅動IC切入CIS代工,12吋CIS晶圓報價一度低於1000美元,業界直呼這種價格是「不可思議」。而晶合這種先聚焦面板驅動IC,後拉抬CIS的戰術也確實奏效,帶給競爭對手不小壓力。
     



    半導體業界指出,與台積電、聯電這種通吃型的晶圓代工廠不同,晶合屬於專精型的晶圓代工廠,與世界先進、力積電極度相似。因此,晶合在面板驅動IC和CIS感測器兩大領域的步步逼近,又有中國晶片自給自足國產化的大目標前提下,帶給世界先進、力積電不小壓力。
     



    中芯國際日前法說會中也指出,原本預期2024年景氣會還有一次往下循環,但因為急單挹注,自2024年2月以來12吋晶圓廠的平均產能都是滿載,8吋晶圓則還需要一段時間消化完庫存後才能拉高利用率。
     



    同時,中芯國際也指出,全球流行「Local for Local」的做法,中芯在深圳、北京、臨港12吋廠也都持續擴建,而且設備採購訂單都發出去,投資都會如常進行。不過,中芯國際也因為新產能的攤提折舊,加上本土成熟製程的殺價內捲,第一季毛利率降至13.7%,預計第二季毛利率會進一步下降至9~11%。
     



    業界認為,中國晶圓代工廠因為有國產化的大背景,以及因應美國進一步關稅制裁,半導體擴產的策略會持續加碼,短期看重的不會是利潤,而是先搶市占率,卡位這一波國產化商機。以晶合集成來看,最難熬的階段算是熬過去了,所以宣布繼續加碼擴產CIS感測器和面板驅動IC,等拿下市占率,未來就可以有喊價話語權。
     



    晶合指出,目前已經實現55nm代工平台50M單晶片、高像素及1400萬堆疊式影像感測器晶片量產,同時也完成40nm OLED顯示驅動晶片首次成功點亮面板,將於第二季實現小批量的量產。
     

    國際半導體產業協會也指出,2025年中國大陸晶圓製造產能將占全球晶圓總產能約30%,尤其是成熟製程晶圓廠。2024年中國大陸晶圓廠產能年增14%,達每月885萬片晶圓,2025年更達到單月1010萬片晶圓,將占全球整體晶圓產能約30%。
     



    整體來看,這一波半導體庫存調整的時間蠻長的,高達4~6季,從原本只有AI相關晶片受惠,最後熬到連手機、消費性、通訊等晶片也逐漸恢復正常庫存水位。
     



    中國晶圓代工廠因為有手機急單,2024年以來復甦特別快,逐漸邁入四部曲:急單湧入、產能滿載、調漲代工價、持續擴產。不過,未來成熟製程產能會越來越多,這塊市場未來也絕對是越來越激烈,代工價格的調漲也是有限,要看需求是否能穩定而持續的復甦。

    相關新聞:

    中國DRAM產能即將大軍壓進!台系記憶體廠陷入高庫存、低買氣的困局

    中國大基金三期撒480億美元鎖定三大目標:AI晶片、ASML機台替代、HBM存儲

    達發看旺旗下四大產品線,九暘要先調體質拼損平 

    台積電魏哲家看COMPUTEX“黃仁勳炫風”,暗示Nvidia晶圓代工需要“漲價”



     
  • View More 晶片.JPEG
    半導體

    中國DRAM產能即將大軍壓進!台系記憶體廠陷入高庫存、低買氣的困局

    AI應用需求的高寬頻記憶體HBM,以及AI PC換機潮需要的DDR5,是AI大時代下所需要的兩款晶片,全數都掌握在三大國際記憶體廠手上,這是巨頭們的競技主戰場!台灣記憶體供應商中,唯有南亞科將在2024年中首度切入DDR5供應行列,因此備受市場關注。
     



    不過,近日記憶體市場的氣氛,一反之前欣欣向榮的基調,開始出現眾多雜音,主要有三方面疑慮:首先,市場傳出南亞科年中量產的DDR5鎖定5600MHz(DDR5最低時脈4800)認證時間可能會比預期長,開始擔心其DDR5放量的時間點可能延後。對此南亞科回應:「謠言!沒有這種事。」
     

    再者,DRAM現貨價格始終很冷清,持續與合約價表現嚴重脫鉤,主要是反應終端需求沒有起色。最新的618促銷檔期對整個消費市場的刺激仍是有限,DDR3和DDR4沒有循之前預期有補漲跡象,反而是價格更疲弱。由於DDR3和DDR4本身就一直有庫存偏高的問題,現在買氣無法點火加速消化庫存,恐會加劇之後價格走跌。
     



    第三,是來自中國DRAM產能將持續放大帶來的壓力。長鑫存儲這幾年一直是美系半導體設備廠的大買家之一,擴產的產品集中在DDR4利基型記憶體、LPDDR5行動記憶體等,與台系記憶體南亞科、華邦兩家供應商基本上是狹路相逢,長期目標長鑫月產能上看超過30萬片。
     



    市場期待南亞科在2024年中之後,可以快速駛上DDR5賽道,部分產能加速遠離中國漸漸握有主導權的DDR4戰場,避免中國DRAM產能大舉開出。這一切都要看南亞科在年中後,轉進DDR5的速度夠不夠快,2024年下半成為南亞科很關鍵的時期。
     



    此外,傳出長鑫存儲除了現有合肥、北京兩地的晶圓廠,也要在上海唐鎮成立據點,是否會投入相同產品線建置,或是有其他規劃尚不得而知。同時,也傳出長鑫有IPO的計畫,估值將不低於1000億元人民幣。
     



    以製程技術角度來看,美國的出口管制清單將長鑫限制在18nm製程以下,意思是長鑫在採購先進製程機台設備時,18nm以下的設備會受到限制。目前長鑫是以自主研發介於18nm和19nm的技術,也不斷拉高國產設備使用的比重。
     



    在產能方面,三期全開的滿載產能可能高達單月36萬片,一旦全產能運行,對於整個利基型記憶體市場帶來的壓力將十分巨大。
     



    雖然長鑫的晶片主要還是滿足中國內需市場的消費性產品為主,但長期來看,如果是處於消費需求不能提升,而持續產能過剩下,各種低價產品的輸出和外溢是絕對會發生。
     



    短期看記憶體市場有兩個觀點。第一,DDR4和DDR3短期的問題在買氣不振和庫存仍高,DRAM現貨價格仍是下跌。大家看好7、8月傳統旺季會有需求刺激買氣,然若是今年走上旺季不旺的格局,DDR4和DDR3加速拋出庫存,恐讓價格更疲弱。
     



    第二,AI PC是今年COMPUTEX最大賣點,更是整個科技產業寄與厚望的殺手級應用。高通高喊的「The PC Reborn」僅是開短而已,這是PC產業大復興的機會,不會是短線炒作的商機而已。不過,假如首波AI PC買氣有限,DDR5價格不排除會出現補跌。
     



    長線而言,要密切觀察的當然是中國DRAM廠的擴產會逐漸傾巢而出。首當其衝的不會是三星、SK海力士、美光三大記憶體大廠火拼的HBM和DDDR5戰場,受到正面衝擊的都是台系記憶體廠南亞科和華邦的DDR4/DDR3/LPDDR5等產品,因此這部分要滾動式觀察其發展。

    相關新聞:

    30年前,張忠謀主動打電話給黃仁勳,開啟兩家公司合作情緣

    英特爾基辛格放下「傲慢」擁抱台灣,應給予掌聲

    COMPUTEX「面子」讓給黃仁勳,高通賺「裡子」就盆滿缽滿
  • View More 大基金三期.jpeg
    半導體

    中國大基金三期撒480億美元鎖定三大目標:AI晶片、ASML機台替代、HBM存儲

    中國國家積體電路產業投資基金(大基金)三期於2024年5月24日成立,註冊資本3440億RMB(約480億美元),超過大基金第一、二期總和,預計三期會投入三大領域:先進製程晶圓代工解鎖AI算力晶片、解決被ASML卡脖子的曝光機技術,以及擴大NAND Flash和DRAM產能和研發生產HBM晶片。
     



    大基金第三期第一大股東為財政部,持股17.44%,合計共19 位股東,相較第二期27個股東減少。 最值得關注的是,這次地方政府只有北京、上海、廣東三地,不同於過還有合肥、武漢、成都、重慶等。 若要解讀更深一層意義,應該是投資力量更集中,不再像過去讓半導體投資、晶圓廠遍地開花。
     



    大基金三期的成立,除了資金是一、二期的總和超達3440億RMB,總註冊資金3440億大致可分為四類:
     

    • 中央財政 1060億


     財政部600億
     國開金融360億
     國家開發投資集團100億


    • 地方國資950億(主要北京、上海、廣東三地)


    北京國資350億,其中亦莊國投200億、北京國誼億元150億
    上海國資300億,由上海國盛出資
     廣東國資300億,其中深圳鯤鵬170億、廣州產投90億、粵財投控40億


    • 銀行1140億


    中國建設銀行215億
    中國銀行215億
    中國農業銀行215億
    中國工商行215億
    交通銀行200億
    郵儲銀行 80億


    • 央企290億


    中國誠通100億
    中國菸草100億
    華潤集團 50億
    中國移動 40億





    大基金三期到底會投資在哪些領域?  根據研判,會聚焦在三大方向:




    第一,先進製程的晶圓代工製造和先進封裝如CoWoS等。 第三期會著重在佈局半導體製造,但不會是成熟製程,因為中國的成熟製程產能已經過剩,真正缺乏的是AI晶片算力,尤其是Nvidia的GPU平替方案。
     



    現在中國有非常多GPU、AI加速卡設計公司像是壁刃、摩爾線程、沐曦、天數智芯、燧原,CPU公司有海思、兆芯、龍芯、飛騰、海光、申威等,但沒有先進製程,預計大基金三期會在這部分著力。
     



    第二,擴大NAND Flash和DRAM記憶體晶片的產能,並且朝向HBM發展。 中國內需的記憶體晶片用量非常大,且中國的武漢長江存儲和合肥長鑫已經量產,前者卡在設備被禁運,後者要朝更高技術開發生產,相較於AI晶片,中國的記憶體晶片完成國產替代概率更高且是現在進行式,大基金三期目標是擴大產能,增加市佔率。
     



    日前合肥長鑫、通富微、華為已申請HBM技術相關專利,長鑫也與通富微合作開發HBM晶片,一步步邁向HBM3。 大基金三期是要解開AI算力瓶頸,儲存技術端的HBM研發也要同步配合。
     



    第三,進一步完成半導體關鍵設備與材料的國產替代,由重點在光刻機、光阻等,像是蝕刻等機台國產化產品已經非常成熟,未來重點會是ASML替代的產品。 要說替代太沈重,但未來ASML不單是極紫外光EUV機台不能進入中國,部分成熟製程DUV設備自2024年開始都會落實禁運,因此替代ASML機台會是未來中國在國產設備領域研發的重點。
     



    其實,大基金二期已經重點扶植半導體設備和材料,也帶動許多民營投資基金大舉投入這兩大領域,導致設備和材料成為兩大最「卷」的領域。 但光刻機的研發與投資不是民營投資做得來的,需要規劃性帶領。
     



    三期的註冊金額為470億美元,實際募款額應該更大。 尤其,如果要肩負先進製程、ASML機台開發、記憶體晶片擴產等三大任務,需要更多的資金。 台積電光是一年資本支出就要300億美元。 另一個觀察點是,根據向大基金三期注資的銀行公告,出資額將在10年內實繳到位,470億美元金額看似很大,但出資方分10年投入其實也還好。
     



    大基金第一期成立於2014 年,註冊資本987億,總募資規模達1387億RMB,重點投向晶片製造領域,撬動了5,145 億元社會資金(包括股權融資、企業債券、銀行等金融機構貸款 )。 大基金第一期於2018年投資完畢,細數投資標的,製造67%、設計17%、封測10%、設備和材料類6%,被投企業包括晶圓代工廠中芯國際、上海華虹 、長江存儲、紫光展銳、華大九天、三安光電、長電科技、北方華創和中微半導體等。
     



    大基金二期成立於2019年10月,規模超過2,000億RMB,投資標的涉及全產業鏈,半導體製造佔比高達75%、EDA/設計佔10%、封測2.6%、設備及材料10%, 以及少數的應用類。 大基金二期最大投資為中芯國際,其他重點投資聚焦在設備和材料,包括刻蝕機、薄膜設備、測試設備、清洗設備、矽晶圓、光刻膠、光罩版、電子特氣等。

    相關新聞:

    台積電延續兆元市值的秘密,都藏在這一張圖裡

    50%關稅加上去,恐讓中國成熟製程產能過剩更嚴重,「國產化」速度勢必提前

    慧榮苟嘉章:AI帶動伺服器強大需求,NAND Flash價格下半年續漲
  • View More KC.jpeg
    半導體

    GlobalFoundries傳出要找中國策略聯盟夥伴,突破中芯國際與華虹的包圍

    GlobalFoundries宣布業界擁有豐沛人脈的洪啟財(KC Ang) 為亞洲區總裁兼中國區主席。外界解讀為,GlobalFoundries不但是想藉由洪啟財在半導體產業超過30年的經歷,重振亞洲市場業務,且據了解也有意在中國推出屬於GlobalFoundries特色的「中國在地化」策略!
     



    洪啟財畢業於台灣大學機械工程學士學位,並擁有德州大學工程碩士學位,最早是在新加坡特許半導體Chartered,2010年加入GlobalFoundries,負責全球生產基地的管理,尤其是新加坡的生產基地,後來曾擔任GlobalFoundries台灣區董事長。
     



    洪啟財也是新加坡晶片產業發展的關鍵人物,也是新加坡國立研究基金會(National Research Foundation in Singapore)董事會成員,並兼任國際半導體產業協會(SEMI )東南亞區域諮詢委員會主席。
     



    GlobalFoundries的新人事命令安排被外界認為是要重用洪啟財30多年來在半導體產業累積的人命關係,重新整理亞洲區業務,且GlobalFoundries也規劃提出擁有GlobalFoundries特色,融合中美風格的「中國在地化」業務策略!
     



    GlobalFoundries特色的「中國在地化」業務策略卡在一點,就是GlobalFoundries本身在中國沒有晶圓廠,當初的成都12吋基地賣給華紅了。在沒有晶圓廠的前提下,如何做「中國在地化」呢? 或許,找中國其他夥伴,有晶圓廠的夥伴進行策略聯盟,是一條可行之道!大家拭目以待,GlobalFoundries如何在中國半導體市場,在中芯國際和華虹的前後夾擊下,走出一條創意之路!
     



    GlobalFoundries在中國區業務這幾年面臨一些挑戰,主要是地緣政治的因素,使得中國許多客戶都轉投本地晶圓代工廠,GlobalFoundries在中國面臨中芯國際和華虹半導體的兩邊壓力,這也是亞洲區新人事安排要突圍之處。
     



    2023年底,GlobalFoundries停擺多年的成都12吋生產基地,也正式由華虹集團接手,成為「成都華虹」,預計將建成一座月產能達3萬片的12吋晶圓廠。
     



    根據研調機構Counterpoint針對2023 年第四季全球晶圓代工市佔率統計,台積電以61%穩居龍頭地位,三星以14%市佔率位居第二,聯電與GlobalFoundries以市佔率6 %並列第三大,中芯國際的佔有率約5%。
     



    從以上市佔率排名可看出,台積電和三星AI商機加持,以及先進工藝的領先優勢,保持大幅度領先,而GlobalFoundries、聯電、中芯三家緊密纏鬥,市佔率彼此「很黏」。
     



    中芯國際在中國業務的優勢,當然是受惠地緣政治下的國產化商機,國內IC設計客戶的投單增加,以及華為手機帶動的零組件需求回溫,都是中芯的收入持續衝刺的火種。
     



    聯電有多元化的產品線,以及同樣是地緣政治下的國際客戶投單增加,未來則是有與英特爾在成熟過程上策略聯盟的想像空間。 GlobalFoundries在中國市場曾經主打FD-SOI,以及中國內需龐大的車用商機,這次換帥後,如何在地緣政治的變動下,走出新格局,業界十分關注。

    相關新聞:

    50%關稅加上去,恐讓中國成熟製程產能過剩更嚴重,「國產化」速度勢必提前

    中芯國際咬牙擴增28nm產能,殺價戰與龐大折舊金額夾擊

    驅動IC殺價恐衝擊IP收入? 力旺:摺疊機、電子紙標籤用量倍增

    台積電揭示2nm以下最新A16製程,結合超級電軌與奈米片電晶體架構

    歡迎轉載文章,請註明出處:SEMICONVoice  
  • View More 圖庫.JPG
    半導體

    50%關稅加上去,恐讓中國成熟製程產能過剩更嚴重,「國產化」速度勢必提前

     
    中美貿易戰隨著美國宣布對電動車、半導體、鋰電池課徵高關稅而再度緊張。尤其,美國針對中國半導體的關稅提高到50%,將導致現在中國已經供過於求的成熟製程產能,未來過剩的情況更嚴重,因為採用中國成熟製程所生產的半導體產品,勢必因為高關稅而減少外銷。
     



    因此,預計中國官方將祭出更多的政策與方案,來加大力度來推動國產化,可能是更多的補貼政策刺激國產化的速度前進。否則,這幾年中國瘋狂新建的成熟製程晶圓代工廠,產能過剩的情況將難以想像。
     



    美國這次的大舉提高關稅,最大一刀是砍向電動車,關稅直接拉到100%,呼應特斯拉馬斯克所言「如果沒有貿易壁壘,世界上多數汽車企業都會被中企擊垮。」但目前比亞迪並未進軍美國,中國電動車在美國佔比不高,提高電動車關稅是在防範未來,要觀察的是歐盟會不會跟進針對中國電動車課高關稅,因為中國電動車在歐洲佔比很高。
     



    美國關稅的第二大刀是對中國半導體出手,關稅從25%提高到50%,主要目的是降低美國對中國成熟製程產能的依賴。
     



    美國手上有兩張牌「關稅」與「出口管制」,在先進製程上,美國拿出的是出口管制禁令,而在成熟製程上,則是拿出籌謀已經的關稅政策。
     



    過去幾年美國已循序漸進透過限制設備與材料的進口,封鎖中國在14~16nm以下的先進製程的邏輯晶片製造。
     



    後遺症是,中國開始往成熟製程領域來擴充產能,過去幾年加速購買機台設備,甚至是二手機台設備也搶購,中國半導體廠就是怕美國的禁令一步步逼近下,連成熟製程都被封鎖。
     

    長期下來,演變成中國的成熟製程產能過剩,便宜的IC、廉價的產品逐漸外銷到全世界且極具競爭力,席捲全球,美國也因此有了警戒。
     



    不過,對中國成熟製程發出限制令不是美國想做的,祭出高關稅的手段壓制,才是美國一直以來的計畫。
     



    除了要阻擋中國低價產品輸出海外,晶片透過外銷產品滲透到所有電子產品之外,美國打得另一個算盤是,降低對中國製造的傳統晶片的依賴度,於是宣佈將半導體關稅提高到50%。未來將會產生四個影響:
     



    第一,過去幾年來,歐美客戶原本委由中國半導體代工,在地緣政治的氣氛下,都逐漸將訂單轉出,首選當然是轉給台灣半導體廠代工,包括台積電、聯電、力積電、世界先進。像是,面板驅動IC原本是台灣的強項,後來中國不斷逼近追趕,一方面也是狹持其在面板上的優勢之故。
     



    未來中國的晶圓代工廠會承接中國客戶訂單為主,形成一個世界,兩個系統。至於NAND Flash和DRAM記憶體產品,目前中國分別有長江存儲和合肥長鑫為供應商,未來也將以供給本土需求為主。
     



    第二,中國會加速推展「國產化」,祭出更多政策來鼓勵國產化進程。
     



    美國對中國半導體課徵50%關稅,就是衝著中國成熟製程產能而來,如果中國不加速去推國產化,使用國產IC,未來成熟製程供過於求的嚴重程度,會難以想像。總之,未來中國應該會加速「國產化」,以去除嚴重過剩的成熟製程產能。
     



    第三,如果有想要外銷給美國市場的中國客戶,搞不好會選擇到非中國本土系統的晶圓代工廠投片,或是使用非中國製造的IC,不然半導體產品會被課徵50%關稅,估計消費型產品的機率最大。
     



    第四,該趨勢發展下去,全世界電子相關產品會持續面臨成本上漲的挑戰。原本全球化運作的世界,現在分裂成兩個系統運作,自然會帶來成本上升。

    相關新聞:

    中芯國際咬牙擴增28nm產能,殺價戰與龐大折舊金額夾擊

    高通訂單加速轉出中芯國際,世界先進擴產接住電源管理晶片

    群聯:不跟進中國模組廠低價拋售NAND Flash,下半年AI PC生意樂觀

    當科技戰火燒到英特爾,中國將徹底與西方脫鉤?

    力積電銅鑼12吋廠啟用典禮,宣布切入CoWoS 先進封裝

    歡迎轉載文章,請註明出處:SEMICONVoice  

     
  • View More SMIC.PNG
    半導體

    中芯國際咬牙擴增28nm產能,殺價戰與龐大折舊金額夾擊

    中芯國際在最新一季度的法說會中指出,在急單挹注下,今年2月以來12吋的平均產能都是滿載,但8吋晶圓市況還在低谷,可能要到2025年中之後才會恢復健康水平。
     



    中芯國際CO-CEO趙海軍指出,原本對景氣看法是Double U,第三季還會往下走形成一個凹洞區,但因為12吋廠有急單填滿,下半年看法審慎樂觀,爭取下半年優於上半年。整體而言,他認為整個產業在剔除第一、二名台積電和三星後,今年約成長8%,中芯國際的成長可優於該平均值。
     



    中芯國際今年宣佈高達75億美元的資本支出,以及巨大的攤提折舊,一直是外界關注的焦點。加上中國大陸本土的成熟製程產能過剩,殺價競爭激烈,中芯國際第一季淨利7,180萬美元,年減將近70%,第一季毛利率13.7%,預計第二季會進一步降至9~11%,主要是產能不斷擴大,被迫認列更多的設備折舊。
     



    趙海軍也表示,Local for local策略是當前全球最時髦的做法,今明兩年都是產能建置的高峰年,去年設備採購單都發出去了,深圳、北京、臨港12吋廠持續擴建,即使意識到會共過於求,或許來年會減少投資,但已經發出去的投資現在也無法修正。
     



    至於折舊壓力,趙海軍也指出,持續擴充12吋晶圓產能是為了滿足客戶需求,新建產能釋放過程折舊金額會上升,從虧損到實現經濟規模需要時間,這是行業規律。
     



    中芯國際強調,28nm從2014年開始量產PolySion,2016年開始量產HKMG,28nm PolySion量產超過10年,HKMG量產也超過十多年了。公司表示,28nm是平面製程,性價比高,從民用、公用、汽車、消費性電子等,客戶需求都非常旺盛,長期來看供不應求。因此,當前面對如此巨大的景氣壓力,還是要咬著牙擴充28nm產能。
     



    中芯國際也釋出三個需求面的好消息:
     



    第一,舊產品的庫存消化差不多了,新產品也開始有備貨需求,像是低功耗元件、藍芽、mcu原本已經很久都不拿貨了,現在都開始拿貨加單,整個行業需求上來了,存量賣得多,庫存自然會下降。
     



    之前才有研究報告指出,某一種類型的mcu庫存能繼續賣7年,因為當時市場需求是完全乾涸,但現在消費市場的需求明顯已經逐漸回來,市況好很多。
     



    第二,今年是體育年,有美洲杯、歐洲杯、亞洲盃、奧運會,帶動機頂盒、電視等消費性產品的銷售量增加。
     



    第三,中國智慧型手機廠商今年都在擴大市佔率,每家都在儲備庫存,自然帶動拉貨。
     



    在價格方面,中芯國際認為第二季出貨量會持續增加,但平均售價會因為產品組合而下降,呈現量升價跌。
     



    趙海軍指出,隨著本土產能不斷開出,行業競爭會越來越激烈。自從2月以來,中芯國際的12吋平均產能都是滿載,但戰略客戶仍在市場上仍是常遇到更低的價格,尤其是智慧型手機,常常幾千萬訂單就沒了,為了不讓客戶掉市佔率,這類標準型產品會和客戶站在同一戰線,直接參與競爭。
     



    展望下半年,預計12吋廠平均產能滿載會持續一段時間,8吋廠預計要2025年中之後才會恢復健康,但8吋產品對價格較不敏感,再降價空間也不大。
     



    至於上半年的12吋急單挹注,是否會透支下半年的需求? 中芯國際表示,對於下半年態度持續謹慎觀察,還看不太清楚,公司目標是成長超越同業步伐(剔除台積電和三星)。



    中芯國際2024年第一季營收為17.50億美元,季增4.3%,年增19.7%,毛利率為13.7%,較上季毛利率16.4%和去年同期毛利率20.8%減少,第一季淨利7,180萬美元,年減68.9%,出貨量179萬片(約當8吋晶圓),季增7%,產能利用率為80.8%。



    營收比重方面,中國、美國、歐亞分別佔82%、15%、3%。手機31%、電腦/平板18%、消費31%、互聯13%、汽車7%。
     

    相關新聞:

    50%關稅加上去,恐讓中國成熟製程產能過剩更嚴重,「國產化」速度勢必提前

    台積電揭示2nm以下最新A16製程,結合超級電軌與奈米片電晶體架構

    高通訂單加速轉出中芯國際,世界先進擴產接住電源管理晶片

    驅動IC殺價恐衝擊IP收入? 力旺:摺疊機、電子紙標籤用量倍增


    歡迎推廣本文,請務必連結(LINK)本文出處:Semiconvoice-科技新聞

     
  • View More ic.JPG
    半導體

    高通訂單加速轉出中芯國際,世界先進擴產接住電源管理晶片

    4月最後一天,晶圓代工廠世界先進、記憶體封測廠力成、消費性IC設計盛群集中在同一天舉行法說。 幸好,這次三家半導體廠同步釋出第二季手機、消費性電子觸底後開始回暖的訊息,終於沒有把法說會開成法會!
     



    其中,世界先進釋出的前景比市場預期的樂觀很多,提出第二季出貨強勁成長17~19%,產能利用率可比第一季53%提升10個百分點至65%左右,惟毛利率微幅下滑至25~27%。再者,世界先進第二季會有LTA長期合約的貢獻。
     



    值得注意的是,世界先進在法說會中釋出擴充晶圓五廠4000片的訊息,這4000片的擴增產能其實被討論很久了,但礙於市場需求不振,公司一直延後擴充腳步。
     



    世界先進晶圓五廠增加的產能主要是電源管理IC,來自於“從中國轉出的訂單”。據了解,高通把電源管理晶片PMIC從中芯國際轉單的動作加快,其他像是芯源系統MPS過去也高度依賴中國大陸的晶圓廠做代工如中芯國際、華虹等,受到過去幾年地緣政治影響,也都陸續轉出訂單,因此世界先進決定擴產支援。
     



    同樣也是地緣政治影響,中國大陸本土晶圓代工廠大力擴產成熟製程產能,價格戰猛烈,在世界先進法說會中,分析師也關切是否會被這些過剩的成熟製程產能或是價格戰影響?
     



    世界先進明確表示,不會加入價格戰,中國晶圓廠的殺價競爭反映的是過度擴產成熟製程後的產能過剩,以及整體經濟需求疲弱,公司還是會聚焦在提供服務和有競爭力的技術,在需求疲軟時,在價格上會與客戶共體時艱,等到庫存健康化後再恢復,但對於殺價接單是沒有興趣的。
     



    另外,世界先進也提到,因為地緣政治風險,導致許多外商訂單從中國的晶圓廠轉出去,這些都是世界先進的機會,這樣的趨勢其實2023年就很明顯,未來轉單帶動的效益會更大,尤其是電源管理晶片PMIC。
     



    另一點關注點是,2024年世界先進股東會中將改選9名董事,但母公司兼大股東台積電並未派任法人代表參選,先前台積電的法人代表方略和曾繁城,都改以自然人的身份參選。台積電表示,將行使投票權支持適當的董事候選人。
     



    台積電目前持股比例達28.32%,為最大股東,其次則是國發基金16.72%。台積電表示,因為與世界先進並非從屬關係,為強化經營者責任與公司治理,因此不再派任法人代表董事進入世界先進的董事會,台積電也沒有無出脫世界先進持股的計畫。
     



    世界先進第一季營收96.33億元,出貨量較上季減少4.1%,ASP持平,毛利率24.2%,晶圓出貨量46.9萬片。第一季營收中,電源管理晶片65%、大尺寸LCD面板驅動晶片19%、小尺寸面板驅動晶片11%,其他5%。展望第二季,預計晶圓出貨量將增加17~19%,ASP較上季減少2~4%,毛利率25~27%。
     



    世界先進表示,第二季的訂單能見度轉佳,景氣觸底後逐步回暖,消費性電子上半年庫存可望回到正常健康水位,但工業和車用電子的庫存調整要到第二、三季度才會正常化,預計下半年景氣狀態是溫和成長。
     



    世界先進2024年整體產能微幅增加至338.7萬片,資本支出為新台幣38億元,其中60%用於晶圓五廠的擴充,其他為例行性支出。
     



    有關403地震對世界先進的影響,公司指出,80%廠區設備在一天內復原,其他多個廠區多在4天內恢復生產,而晶圓二廠則是在一周後恢復生產,評估對線上晶圓產能報廢導致的影響占第二季約1.5個百分點,2%晶圓延遲到第三季出貨。
     



    世界先進主要是8吋晶圓廠,多年來一直規劃跨入12吋晶圓廠建置,只聞樓梯響,未真正定案,但只差臨門一腳。
     



    業界一直傳出世界先進的12吋廠落腳新加坡,台積電也會給予必要的技術和建廠資源支持,有機會在年內動工。不過,這次世界先進的法說會中並未如外界預期宣布這個好消息。
     



    世界先進指出,要蓋12吋廠考慮的面向非常多,包括技術、客戶、財務等等,必須要有十足把握才能出手。同時,公司也表示可以朝與客戶JV方向走。至於落腳新加坡,公司指出,台灣和新加坡都是非常適合投資半導體的地區,新加坡政府也相當積極拉攏有能力建12吋廠的廠商,但其他的不便多談。

    相關新聞:

    台積電:除了AI,沒一個能打的!!

    台積電揭示2nm以下最新A16製程,結合超級電軌與奈米片電晶體架構

    50%關稅加上去,恐讓中國成熟製程產能過剩更嚴重,「國產化」速度勢必提前

    中芯國際咬牙擴增28nm產能,殺價戰與龐大折舊金額夾擊

    群聯:不跟進中國模組廠低價拋售NAND Flash,下半年AI PC生意樂觀
  • View More 展銳.PNG
    半導體

    紫光展銳傳裁撤射頻晶片團隊

    手機芯片設計公司紫光展銳過去幾年歷經了十分頻繁人事異動後,近一年來在半導體行業可謂是悄無聲息。展銳曾經是中國老牌的IC設計公司之一(前身展訊通訊成立於2001年,2018年與銳迪科RDA合併成為現在的紫光展銳),也是備受注目的中國半導體“國家隊”、紫光集團“準IPO”企業,更是中國自研手機處理器(除了華為海思)的第一把交椅。
     



    經歷2022年紫光展銳執行長楚慶離職,同為紫光集團的任奇偉接任CEO,2023年又宣布董事長由吳勝武改由馬道傑擔任後,展銳就這樣靜悄悄了好一陣子,近日傳出來的消息卻是裁員,業界傳出展銳針對射頻PA團隊進行人員精簡。
     



    事實上,中國射頻晶片領域特別“捲”,洗牌賽與整合賽是必然的方向。
     



    更早之前,有中國射頻廠商指出,射頻晶片已經進入無序競爭的地步,從射頻開關、手機PA、Cat.1 PA、WiFi6FEM、濾波器等,整個產業過度內捲與競爭的結果,是“ 天天都有最低價”,未來情況會更嚴峻。
     



    射頻晶片曾經備受中國資本的青睞,這要追溯到3~4年前,全球疫情蔓延背景下的極度“缺芯”,以及中國芯片國產化的大時代背景下,中國射頻芯片公司大量成立。因為射頻晶片門檻不算高,應用領域廣泛,且產品週期短,射頻各個細分領域都吸引大量資本進入,希望能從國際巨頭佔據的大份額市場中,取一瓢飲。
     



    不過,疫情過後手機市場供應鏈庫存一堆、沒有換機的新吸引力,加上供給過剩加劇,中國射頻晶片在高端市場無法挑戰Skyworks、Qorvo、高通等國際巨頭,在中低價市場互相競爭 的結果,導致中國射頻晶片早已經走上洗牌戰之路。
     



    近年來,低調的展銳也積極佈局非洲、印度、泰國等新興市場。 展銳曾對外指出,在新興市場積極與諾基亞、傳音等跨國品牌合作,尤其在非洲,展銳更是攜手當地營運商和合作夥伴,助力非洲邁向數位經濟時代。 此外在印度、泰國等新興領域,也是展銳擴展市佔率的重點。
     
  • View More intel 1.JPG
    半導體

    當科技戰火燒到英特爾,中國將徹底與西方脫鉤?

    在中國,有三家深具代表性的美國科技公司:英特爾、微軟、蘋果。在過去門戶大開的20年中,長驅直入中國市場。當美國與中國從貿易戰打到科技戰,現在已經演變成中國與西方世界的對立與對抗。這把科技戰火,最終是要燒到這三家美商科技巨頭。
     



    2023年,微軟在中國市場做出兩個動作,一是正式關閉領英(LinkedIn)中文版APP,退出耕耘近10年的中國市場,也代表最後一個退出中國市場的西方社群媒體。二是協助位於北京的微軟亞洲研究院 (MSRA) 裡的頂尖AI專家撤出中國。
     



    2023年9月,傳出中國禁止政府相關部門使用蘋果iPhone手機,鼓勵使用國產手機,惟該消息隨後被中國官方否認。由於蘋果一直是股神巴菲特最大重倉的科技股,2023年第四季,巴菲特罕見減持蘋果的股份,雖然減持比例很小,但這確實是一個訊號。
     



    2024年3月底,傳出中美對抗再升級,這次的祭旗對象是在中國地位始終屹立不搖的英特爾。傳出中國官方下令將逐漸限制在伺服器和PC中採購英特爾、AMD的晶片,轉為採購國產晶片。同時,政府採購指南也將排除微軟的Windows作業系統和海外製造的數據庫軟體,全數轉為國產化。
     



    對蘋果、英特爾、AMD而言,中國市場的營收占比不小,分別約不到20%、27%、15%。無論從CPU地位來看,或是營收占比,英特爾與中國都算是深度綁定,因此衝擊也最大。
     



    這一年來中國密集朝蘋果和英特爾開刀,也被視為是中國與西方世界“切割”的象徵。尤其是拿英特爾祭旗,更被形容為“翅膀硬了”、“要徹底與西方決裂”。
     



    英特爾在1985年正式進入中國市場,在北京設立第一個代表處。 主要是因為1979年,中國通過了《中外合資經營企業法》,這是第一個為跨國企業進入中國市場提供的法律依據。 那一年,英特爾剛推出8088微處理器,更是首次進入《財星》「世界500強」排行榜。
     



    花了幾年時間摸清中國市場,英特爾開始認真投資是1994年,當時英特爾CEO安迪·格魯夫首次到中國參觀,並且在上海成立研發中心。 2007年,英特爾更將中國區劃為全球行銷網路中的獨立區域,給予它在機構、行銷和人事等方面的決策權,同一年英特爾大連廠開始投產。
     



    經歷30年,英特爾與中國的緊密關係,原以為是剪也剪不斷,但隨著中美關係的降溫和科技戰的升溫,2024年可能是個重大分水嶺。
     



    未來,PC和伺服器中不用英特爾、AMD的CPU,那要用哪來的晶片? 改用國產的海光、飛騰、華為、龍芯、兆芯,當中有x86架構、Arm-base,也有自行開發的架構,作業系統是開源碼Linux軟體。此時不講求晶片好用,只要堪用即可。
     



    不用蘋果iPhone手機,替代方案是愛國心第一選擇華為,不然還有OPPO、小米等。換到安卓系統的適應是習慣問題。
     



    中國政府部門減少外商技術的採購行動,並非突然發生。 早在2022年9月,國資委發布79號文《關於開展對標世界一流企業價值創造行動的通知》,部署了國企、央企信創國產化的具體要求和推進時間表,規定國企、央企須在2027年完成信創全替代,替換範圍涵蓋晶片、基礎軟體、作業系統等,涵蓋政府、金融、航空航太、電信、交通、校閱、醫院、石油、電力等領域。
     



    2023年底,中國官方進一步定調科技國產化,針對PC、筆記型電腦和伺服器發布更嚴格政府採購準則,首份「安全且可信」處理器與作業系統的清單,幾乎全是中國企業供應商。也象徵逐漸降低採購英特爾、AMD的CPU晶片和微軟的作業系統,是未來官方軟硬體採購的必然方向。
     



    是的,中國政府和國企等放棄英特爾、AMD等西方國家的晶片,轉為國產替代的期限是:2027年。
     




    美國四大招全面封鎖
     



    美國自從2018年陸續制裁中興、華為以來,演變成對中國全面性的封鎖,當中有三大舉措影響最深遠(由近至遠):
     



    第一,針對中國AI算力封鎖,以防中國擴張AI算力能力應用在國防、軍事系統上。從最早在2022年,第一槍限制Nvidia的高階GPU供應,一直演變到2023年直接針對AI算力晶片的限制,間接阻斷台積電為中國國產GPU晶片代工的這一條路。
     



    第二,美國《晶片法案》規定,獲得美國補助的企業在中國等國家擴展半導體生產和研發將會有嚴格的限制,間接阻斷了英特爾、台積電、三星等在中國製程技術與晶圓廠擴產的進展。
     



    第三,2022年限制美國籍公民從事中國半導體製造相關工作,影響所及涵蓋長江存儲、合肥長鑫、中微半導體等。由於中國科技產業幾乎是海歸派和延攬海外人才所建立而成,此限制直接從人才下手,影響十分鉅大。
     



    第四,對ASML光刻機的限制,從極紫外光EUV擴大到成熟製程使用的DUV系統,也等同直接擴張長臂管轄的範圍。日前更傳出美國要求荷蘭阻止ASML對中國半導體廠進行維修服務。
     



    美國與中國的科技領域全面對抗,發展到英特爾這個層級,算是來到另一個高峰。

    過去我們對科技世界的認知是,CPU為科技產品的核心,無論如何英特爾的地位是很難被替代的。不過,自從生成式AI強勢席捲全球,科技世界運轉的主導者來到Nvidia身上,既然GPU都可以被禁,火燒到CPU身上也不用太大驚小怪。
     



    就台灣產業而言,英特爾、AMD恐被中國排除在外,產業鏈估計影響有限,因為去中化已經行之有年,無論對晶圓代工、IC設計、AI供應鏈等,影響均不大。
     



    對中國而言,看來科技產業真的走到一個系統、兩個世界的分岔路上,未來科技產業系統將分為中國標準和非中標準,無論大家如何爭議中美之間的真假脫鉤,在科技系統上,雙方脫鉤成兩個標準已經是在路上。
  • View More AI1.JPG
    半導體

    字節跳動自研7nm製程AI晶片,博通提供設計服務

    年初,博通CEO陳福陽在分析師電話會議上指出,2024財年度AI需求在半導體營收的占比將從先前預測的25%,提升至35%。在Morgan Stanley最新報告中指出,字節跳動的7nm製程自製AI ASIC晶片,由博通提供設計服務。
     



    大摩也提到,日本最大的ASIC設計服務公司索思未來(Socionext)有機會贏得雲端服務商3nm製程Arm-based CPU設計案子,Socionext將成為世芯和創意在雲端服務供應商ASIC晶片上的競爭者。








    隨著美國禁令對中國的算力晶片的管制趨於嚴格,即使是Nvidia的特供版晶片,因為調降後的算力大幅降低,對中國客戶的吸引力也因此大幅減少。
     



    中國雲服務供應商包括華為、百度、阿里巴巴、字節跳動、騰訊都強化投入AI自研晶片,降低對於Nvidia、AMD等GPU的依賴。
     



    目前中國AI自研晶片主要分兩派,一派如阿里巴巴的平頭哥、百度崑崙芯等,自研力道和規模較大,另一派是騰訊和字節跳動,偏向小規模,但在自研晶片之路上,騰訊和字節跳動普遍被業界認為是小打小鬧。
     



    日前,阿里巴巴董事局主席蔡崇信表示,因為美國限制Nvidia對中國提供高階晶片,中國在AI發展上可能會較美國OpenAI落後兩年,且受到美國晶片禁令限制趨嚴,確實在短期和中期上影響了阿里的雲業務。他進一步指出,目前中國雲端業務確實面臨晶片短缺的問題,目前晶片庫存在12~18個月內尚足夠支應LLM的訓練。