全部新聞分類

  • View More 永康路.jpg
    全球趨勢

    尋訪上海 . 消費與房市 . 昔與今

    連于慧 2024.9.18

    在上海住了近三年,這座城市的豐富性和多樣性,午夜夢迴常讓我繾綣眷戀。三年不是太長的時間,但我看著這座最「嗲」的城市從千嬌百媚到千瘡百孔,感觸甚深。
     



    這次去上海一周,感受了近期當地的消費溫度,也探訪了當地的房市。在一個天氣依舊炎熱的午後,和一位「神人」朋友看了分別位於愚園路、湖南路的三棟老宅,也跟上海當地仲介聊了一下。
     



    為什麼說我這位朋友是「神人」呢?他在去年7、8月時把手上三套房都賣掉,賣完後上海房價立馬跌了30%,他覺得可以用半價再把房子買回來了!像是140塊把Nvidia賣掉,然後100以下再撿回來,真是神操作啊!







    跟上海仲介聊了才知道,原來房價真正崩塌是在今年初,大概過完年那時候開始進入陡度很大的修正期。
     



    去年中國徹底放棄清零(實際上是前年底,2022年12月7日宣布新十條後被外界視為正式放棄對經濟影響甚大的清零政策,放手大感染)之後,封控才算是真正結束。當時各界還期待經濟景氣會隨著封控結束而復甦,更預期會有報復性消費,估計是這個原因,當時房市還出現一個小高峰。
     



    等到今年過完年,大家發現情勢不妙,景氣並未隨著封控結束而回來,且確定是回不來了! 地方政府沒錢,民眾也緊縮荷包、消費降級,企業到處在減薪、裁員(還有金融行業追討返還薪資),房貸根本繳不出來,房價也是在今年初開始直線往下崩,平均跌了30%,外圍一點的房子跌更深。
     



    我們看的第二間位於愚園路一整棟四層樓的百年老房,整體面積約150平方米(約45坪),開價2300萬RMB,成交價至少打個8.5折吧! 這間房前兩手分別是1999年以95萬購入,以及2013年以900萬購入。看起來,上海的房子要是在2000年以前入手,才有可能賺個十倍起跳。
     



    上海房價漲最兇的是2009年和2016年。2009年上海房市太瘋狂,當年還出台了「國四條」來調控過熱的房市。2016年也是全民瘋炒房,當時為了抑制房價,上海又出台史上最嚴的限購和第二房限貸政策(是否感到熟悉~)現在回頭看,經歷疫情和封控折騰,那時上海的房市確實是最後一頓豐盛晚宴!


     



    另外,這次去上海幾家外國人常去的餐廳,其實老外還是蠻多的。以前常去的新天地Polux by Paul,上周三晚上去,當天還有點下雨,餐廳大概七成滿,我覺得可以了,但朋友說疫情前連平日都是滿的,人潮真的差很多。(我覺得現在消費降級,我的標準也降級了! 平日雨天七成滿我ok的,不會拿疫情前的情況來比,因為不可能回到疫情前了!)
     



    以前的網紅街永康路則是明顯蕭條了,看到往日常去的那2~3家餐廳和咖啡館很努力地活著。跟其中一家餐廳老闆聊天,他說疫情期間收了不少餐廳,但去年封控結束後,又有一批新餐廳開幕,就是賭消費會回來,但半年後全倒了.....
     



    我說感覺上海的外國人還是有的,但這兩年的外國人和以前的外國人相較有點......怎麼說呢......就是不太一樣。老闆說當然,以前外國人氣質好多了,而且一坐下來就是sparkling water,現在都只喝白開水。
     



    我個人感受是,以前在上海的外國人就是白領、高管,來上海是公司配車配房配阿姨,敢玩、敢花錢,完全是來帶動消費的,本地人也有模有樣地學了起來! 但這兩年在上海看到的外國人比較像是真的需要賺錢來打工的....
     



    不過,畢竟我這次只有考察上海精華區中的超級精華區,一整周都在淮海中路和新天地和朋友輪流聚,取樣確實有點偏頗,很多人說你去周圍一點的地方逛逛,對於景氣下行的感受會更為深刻。總之,我的感受是,如果凡事要跟疫情前的狀況比,那是苦了自己而已。那時代,是回不去了!
     



    上海,曾經是一席流動的饗宴,繁花的城市,是一個有魔法的地方,任何不可能在這裡都有實現的機會。
     



    如今,魔都依舊矗立在那兒,只是魔力已消逝在時代洪流中。終究,上海將變成一座普通又平凡的城市。曾經的繁花,外灘川流不息的饗宴,只能留在我們的舊日回憶裡。
  • View More CEO.jpg
    半導體

    AI浪潮助推,台積電與韓國從敵人變盟友,HBM江山分杯羹,三星非常著急

    連于慧
    過去曾是張忠謀口中的兩隻「700磅大猩猩」的英特爾與三星,前者在COMPUTEX期間CEO積極巡攤位與下游OEM廠搏感情; 另一家三星則是SEMICON Taiwan期間,與台積電高層坐在台上華山論劍談AI,兩家公司高層中間還特別隔著一個Google代表,微妙的距離看上去是「友達以上,合作未滿」!
     



    在「AI晶片世紀對談」中,作為論壇主持人的日月光執行長吳田玉話鋒犀利指出:你們看這AI商機多大、多美好,迫使我們得跟韓國的朋友(指同在台上的三星)來討論AI這個議題。話一說完還不忘問台積電共同營運長米玉傑「我這樣說有太超過嗎?」


    他也不斷拋出同一個議題讓大家思考:今日我們對AI的投資如此巨大,包括三星、SK海力士、台積電在硬體製造上高度資本密集的投入,還有先進封裝、設備材料行業極力往前衝,但最大的受益者卻是美國,且前方回收之日十分漫長。
     



    三星記憶體業務副總李禎培Jung-bae Lee認為,現在只是投資播種期而已,呼籲要有耐心。
     



    確實,在AI時代已經明顯落後的三星,不但需要展現耐心極力追趕,更對勁敵台積電努力拋出橄欖枝。其實,就算三星想選擇「躺平」...大客戶Nvidia也絕對不會允許!
     



    只是,進入HBM4技術世代後,三星面臨的壓力會比以往更巨大,因為要面臨記憶體和邏輯兩大勁敵SK海力士和台積電的攜手合作。




     



    從HBM4技術開始,記憶體與邏輯之間的邊界開始被打破,台積電更是直接嶄露跨足記憶體的雄心,與SK海力士宣布合作共同開發HBM4記憶體,將於2026年正式量產。
     



    在HBM領域已經落後的三星,眼看SK海力士和台積電合作,心中著急自是不言而喻,在SEMICON TAIWAN 2024中,三星表示,HBM4合作將不限於自家晶圓代工廠,對台積電揮手的意圖十分明顯。
     



    翻開台積電的歷史,身為半導體常勝軍的張忠謀,DRAM一直是他的魔咒。台積電在1994年主導成立世界先進做DRAM,卻在2000年之後宣布退出,從此專注在邏輯製程領域,不碰記憶體。
     



    沒想到在20年後,AI這股颶風讓台積電順理成章打開記憶體大門,更與一向是對立面的韓國,並肩作戰成為技術盟友!
     



    為什麼HBM會需要台積電邏輯製程技術的幫助? 
     



    HBM的架構是將DRAM晶片堆疊在Base Die(基礎裸晶)之上,再用矽穿孔TSV技術結合,之前HBM的Base Die是用DRAM製程做,但從HBM4開始,考慮到需要更強大的運算功能和傳輸速度加快,HBM的Base Die需要改成用由先進邏輯製程生產。而SK海力士沒有邏輯先進製程,因此需要與台積電合作,預計雙方合作的HBM4產品會用到台積電的5奈米製程。
     



    李禎培在「AI晶片世紀對談」論壇中也指出,為了打破限制,HBM必須加入邏輯處理的技術,提供客製化HBM,現在三星HBM4的Base Die已經交給晶圓代工廠。
     



    他更強調,HBM4技術世代後,記憶體業者、晶圓代工廠、客戶三方之間的合作越將更為緊密,而三星本身有記憶體、晶圓代工等業務,可滿足客戶一條龍式生產服務。再者,三星記憶體已準備好Base Die的IP解決方案,可以提供給客戶自行設計,保持代工服務彈性,因此未來合作並不限於三星自己的晶圓代工廠。
     



    SK海力士社長金柱善(Justin Kim)也出席了大師論壇,表示2024年以來已經來台灣十次了! 至於為何而來,台下聽眾皆是會心一笑。
     



    SK海力士與三星一同出席SEMICON TAIWAN 2024舉辦的大師論壇,是這兩家韓系記憶體廠首次在台灣同台競技,目的都是拉攏台灣的半導體產業供應鏈。
     



    這要感謝AI時代,把台灣產業鏈的重要性提升到另一個高度與層次,讓台灣成功掌握PC、智慧型手機時代後,又站在AI時代的浪潮頂峰!
     



    金柱善表示,台灣和南韓之間要密切合作,能彰顯高度價值,不僅是對眼前業務有利,也是為了因應解決前方挑戰而共同努力。
     



    他也表示,SK海力士在HBM領域享有最高的全球市占率,HBM3E是市面上最具主導地位的產品,預計9月就會推出12層堆疊的HBM3E產品,應用在AI伺服器上。同時,SK海力士的HBM4也在研發中,將配合客戶量產時程,在結合自己HBM技術和台積電的先進製程代工,將會誕生無與倫比的產品。
  • View More 矽光子.jpg
    半導體

    AI和先進封裝引爆矽光子商機,台灣矽光子產業聯盟成立

    SEMICON Taiwan 2024年活動首日宣布,台灣矽光子產業聯盟SEMI Silicon Photonics Industry Alliance(SiPhIA)正式成立,台積電與日月光為該聯盟的倡議人,在經濟部指導下,由台積電副總徐國晉擔任召集人,從IC設計、製造封裝、應用模組至終端產品和研究機構,包括工研院、波若威、上詮、鴻海、聯發科、廣達 、世界先進 、友達、辛耘、旺矽、穎崴等30家半導體企業共同參與,建構台灣矽光子生態圈。
     



    當前生成式AI大行其道,改變人類生活方式,更呼應昨天日月光執行長吳田玉所言,AI影響不單是在生活層面,甚至是金融、資訊、國防等攸關未來國家的競爭力。因此,政府也非常重視這次成立的矽光子產業聯盟,希望能成為台灣半導體技術轉型關鍵,培育更多半導體優秀人才。
     



    經濟部產業發展署長楊志清表示,台灣向來是全球半導體產業的資優生,為全球公認的半導體科技聚落,隨著AI浪潮在全球遍地開花,矽光子更是未來半導體產業最炙手可熱的技術,經濟部將協助台灣廠商穩固這波製造生產 AI晶片及AI伺服器的機會,讓台灣繼續引領全球半導體的發展。
     



    矽光子在紅什麼?
     



    矽光子不是現在才出現的新興技術,隨著AI時代來臨,帶火了矽光子技術!
     



    AI時代講的就是算力,但是算力增加的同時,代表熱能也會增加。因此,做出一顆AI晶片的關鍵,不是把性能做高就好了,更重要的是如何把散熱做好,以及如何降低能耗,這才是整個半導體產業技術走向的關鍵。
     



    在此背景下,大家發現矽光子能達到上述目的:用光來取代部分的電做傳輸,可以有效降低能耗。
     



    矽光子是在傳遞訊號過程中,利用「光子」取代大部分的「電子」訊號,就是看中光子的速度比電子快,且不容易產生熱能,可以達到更棒的訊號傳輸效果。
     



    矽光子其實有非常多的技術派別,台積電看好的CPO(Co-Packaged Optics)技術,是將光收發器等光學元件和CPU、GPU或通訊晶片等,以先進封裝方式整合在一起。
     



    SEMI預估,2030年全球矽光子半導體市場規模將達到78.6 億美元,CAGR達 25.7%。SEMI全球行銷長暨台灣區總裁曹世綸表示,矽光子作為突破摩爾定律所面臨瓶頸的關鍵技術,SEMI率先在台灣成立矽光晶片發展聯盟,在台積電和日月光帶領下,台灣半導體產業在矽光子領域能佔據領跑者優勢。
     



    除了台灣串連上下游半導體供應鏈攻矽光子商機,許多國際大廠早已經大舉投入開發,像是英特爾是最高實現矽光子商業化應用的企業,IBM也投入矽光子超過20年,博通在CPO技術上也實非強勢,未來在大型資料中心將採用CPO產品。
     



    另外,Nvidia也希望將矽光子導入GPU運算中,取代電子傳輸線路,可以將運算速度帶到另一個層次。看來,以後積體電路也可以叫「積體光路」!
  • View More 吳田玉.jpg
    半導體

    日月光吳田玉:硬體卡住AI發展,呼籲單一公司分享資源

    連于慧
    SEMICON Taiwan 2024即將開展,今年SEMICON Taiwan的大師論壇中,三星和SK海力士兩大記憶體廠首度同台,成為該展覽一大亮點。日月光執行長吳田玉在今日展前記者會中表示,眼前的半導體產業是他從業40年以來,第一次看到硬體居然會成為新機會的瓶頸,不管硬體做再太快,軟體都馬上可以全部用光光,目前這情況雖然只發生在AI雲端,但一葉知秋,顯見未來在發展邊緣運算、機器人的路上,都需在各種技術層面努力突破。
     



    吳田玉指出,在新冠疫情期間,半導體產業第一次被推上全世界的第一線,當時台灣只是配角。這次AI浪潮不一樣,台灣製造業被推到全世界舞台的第一線。
     



    他更指出,現在全世界的AI客人會根據自己的想法,把所有壓力集中在台灣的少數公司,希望能在最短時間內獲得最大利益,當全世界在舞台上競爭,而你就是那個瓶頸的時候,我們得到的好處和機會是什麼? 
     



    隨後吳田玉又表示,今天AI的問題不是單一封裝、晶片、系統廠商能解決,過去把晶片做好就能解決80%問題的時代已經過了,現在應該是全產業的人合作,半導體人應該要讓全世界的好朋友聚在一起分享資源,在最短時間抓到比較正確的方向,用團隊的力量彌補單一公司資源和時間的不足。
     



    他的言下之意,難道是在呼籲台積電應該要分享一下獨步全球的AI硬體晶片CoWoS先進封裝的技術或是訂單?
     



    國際半導體產業協會(SEMI)研究資深總監曾瑞榆對全球半導體市場發展趨勢也有詳盡解析,以下是幾個重點:
     




    2024年的半導體營收每一季和去年同前比較都成長超過20%,細究原因:除了庫存回補,主要反映兩大驅動力:AI和記憶體產業的復甦。





    2024年如果不算記憶體,半導體營收成長只有10%,假使再拿掉AI應用貢獻,那2024年半導體營收成長則只有3%。





    2024年半導體產業成長高度依賴AI和記憶體,展望2025年,無論是通訊、電腦、工業、車用等領域預計都會復甦。尤其是目前需求最弱的車用和工業用領域,到了2025年上半庫存會到新低點,2025年可望有回補庫存機會。





    中國在2023年下半~2024年上半,對於半導體設備的投資高速成長,細究背後原因:建構自己成熟製程的國產化供應鏈產能,以及擔心未來有更強烈的出口管制制裁,因此提前購買非常多的半導體設備。





    半導體設備投資軍備競賽,各國大舉投入下是否會造成產能供過於求? 從資本密集度(半導體銷售/設備投資)來觀察,根據過去30年經驗,全球半導體的資本密集度平均約在15%,但2023年居然達到20%,一旦資本密集度接近20%時,根據經驗確實有可能有供過於求發生。但這次各國的高度資本投資,並非反應正常市場供需,而是地緣政治下,各國對於半導體設備和產業鏈建置的軍備競賽。





    封裝與測試產業在經歷兩年下滑後,2024年測試產業會有7%成長,封裝有10%成長,而這兩個產業在2025年都會有超過20~~30%成長。







    DRAM方面,2024年和2025年有大幅度成長,尤其2025年DRAM投資會達到190億美元近年高點,關鍵原因是記憶體大廠對於HBM投資。





    NAND Flash方面,2023年和2024年維持低檔的投資,2024年甚至比2023年還低一點,因為2023年有來自中國的投資,但2024年變少。展望2025年,預計NAND Flash投資會恢復,但主要並非新產能,而是製程微縮。2024年NAND Flash產業最大課題是把產能利用率拉高,把之前減產的復產,未來重點會是把3D NAND的層數拉高,終端主要的驅動力是企業級SSD帶動。





    12吋晶圓設備投資:

    2023年~2024年:成熟製程投資帶動。

    2025年~2027年:預計會有連續三年高速成長,2025年12吋設備投資更上看1200億美元,2026和2027年投資會分別超過1400億美元。
     



    淺談一下各區域半導體廠的投資:


    中國:2024年半導體投資金額高達500億美元,這完全創下單一區域半導體投資的歷史新紀錄。不過,中國高速投資半導體的腳步,預計到2027年會恢復正常水準,預估當年度的投資金額會降到350億美元。
     



    除了中國之外,到2017年無論是韓國、台灣、日本、歐洲、美國、東南亞的半導體投資金額都是上升。
     



    美國:到了2027年,美國在晶圓廠上的投資會到與台灣、韓國並駕齊驅,都有超過300億美元水準,以CARG來看,2023~2027年達到22%。
  • View More tsmc.JPEG
    半導體

    魏哲家率領四大主管出席台積德國廠動土典禮,傳莊瑞萍出任ESMC執行長

    記者/連于慧
    台積電在德國德勒斯登的ESMC動土典禮將於8月20日舉行,董事長魏哲家將率領四大一線主管親自出席,包括執行副總經理暨共同營運長秦永沛、人力資源資深副總經理何麗梅、全球業務資深副總張曉強、歐亞業務及技術研究資深副總侯永清。另外。德國總理蕭茲(Olaf Scholz),以及台積電上下游供應鏈廠商都會出席德國廠的動土典禮。
     



    再者,傳出台積電內定的ESMC執行長人選為莊瑞萍也會出席這次的動土典禮。據了解,莊瑞萍擔任ESMC執行長,而日前浮出檯面的前博世德勒斯登晶圓廠的廠長克伊區(Christian Koitzsch)擔任的ESMC總經理,未來會匯報給莊瑞萍。
     



    莊瑞萍是營運 / 晶圓廠營運一副總,1997年加入台積電,歷練過90奈米、65奈米、40奈米、20奈米、16奈米、10奈米、7奈米到5奈米製程技術的量產。從2020年起,莊瑞萍更升任為晶圓十八A廠資深廠長,帶領團隊成功量產N5製程,並於2022年成功量產N4製程技術。2023年他更被拔擢成為副總,日前又將德國德勒斯登廠ESMC交給他負責,看得出來他是台積電積極培養的中生代主管。
     



    值得注意的是,2023年初王英郎到美國亞利桑那州廠擔任CEO,一肩扛下台積電美國廠的重責大任後,當時王英郎在台灣的工作職務就是轉由莊瑞萍負責,所以莊也緊接著被拔擢升任為副總。
     



    從近期台積電的人事安排,充分展現魏哲家在今年股東會後記者會上談到的:人事佈局朝年輕化發展,並制定繼承制度,未來要讓接班井井有條。
     



    細數這兩年台積電在接班佈局上,有很多重大變化,包括有兩位共同營運長的架構設計:研究發展組織資深副總米玉傑和營運資深副總經理秦永沛。更亮眼的是,又多了兩位副營運長的架構:秦永沛的副手是侯永清、米玉傑的副手是張曉強。
     



    在台積電的海外佈局上,內部極力培養的中生代負責。三大海外廠區分別由中生代「鐵三角」擔任:美國亞利桑那州廠是王英郎擔任CEO、日本熊本廠由營運副總廖永豪擔任CEO,而德國德勒斯登Dresden廠ESMC也傳出會由莊瑞萍出任CEO。
     



    從台積電的佈局可以看出,除了王英郎很早嶄露頭角之外,積極培養的中生代有廖永豪、莊瑞萍,以及先進技術暨光罩工程副總張宗生,他1995年加入台積電,曾任晶圓十二B廠資深廠長,也是台積電積極培養的中生代。
     



    另一個值得注關注的是,在今年台積電技術論壇上首次亮相的台南十八B資深廠長黃遠國,傳出日前已接替何軍兼任的品質暨可靠性部門,直接向秦永沛報告。原本該部門由何軍負責,後來何軍轉去擔任先進封裝技術暨服務APTS副總,而原本的APTS部門是由2022年退休的廖德堆負責。
     



    另外,上周才剛宣布拔擢資材管理組織資深處長李文如為副總也是受矚目的中生代,六年級中段班的李文如畢業於台大化學系,第一份工作是擔任台積電製程整合工程師,之後更在漢微科、高通、蘋果任職,曾經在蘋果擔任採購長達十年。
     

    魯立忠也是前幾年從侯永清手上接下開放創新平台OIP的工作,現職為研究發展/設計暨技術平台副總。
     



    2021年台積電也挖角台灣美光董事長徐國晉加入,出任Integrated Interconnect & Packaging副總。台積電現任的資訊長,也是2021年才加入台積電,曾在臉書和Mozilla任職。
     



    魏哲家這位繼創辦人張忠謀之後,在台積電「全面執政」的領導人,正在執行他口中「讓交棒井井有條」制度!
     



    台積電將在8月20日舉行德國德勒斯登Dresden晶圓廠歐洲半導體製造公司ESMC動土典禮。ESMC由台積電與博世Bosch、英飛凌Infineon 和恩智浦NXP 合資成立,總投資金額超過100億歐元,目標於2027年底開始生產,主要生產車用和工業用晶片。採用台積電的28/22奈米製程,以及16/12奈米FinFET製程技術,月產能約4萬片12吋晶圓。
  • View More image005.JPG
    半導體

    德州儀器推出創新電源模組磁性封裝技術,縮小尺寸50%

    德州儀器推出了六款創新電源模組,採用 MagPack 整合式磁性封裝技術,可增強效率並降低電磁干擾EMI,且與競爭產品的模組相比,尺寸縮減高達 23%,適用於工業、企業和通訊應用。 再者,六款新裝置中的三款 (即 TPSM82866A、TPSM82866C 和 TPSM82816) 也是業界最小的 6A 電源模組,提供每 1mm2 近 1A 的業界領先功率密度。
     

     

    TI Kilby 實驗室電源管理研發總監Jeff Morroni表示,設計人員利用電源模組以節省時間、簡化設計複雜性、減少尺寸和元件數量,但會犧牲性能表現。經過近十年的研發,TI的整合式磁性封裝技術賦能電源設計人員應對產業的主要電源趨勢,在更小的空間內高效並具成本效益的提升功率。
     

     

    在電源設計方面,尺寸非常重要。電源模組透過在單一封裝中結合電源晶片與變壓器或電感器,有效簡化電源設計和節省寶貴的電路板空間。利用 TI 獨有的 3D 封裝成型製程,MagPack封裝技術提升了電源模組的高度、寬度和深度,進而在更小的空間內傳輸更多的功率。
  • View More K.PNG
    半導體

    台積電張曉強:摩爾定律是否已失效? I don’t care!

    台積電全球業務及海外營運辦公室資深副總暨副共同營運長張曉強接受TechTechPotato YouTube頻道接專訪中談到關於摩爾定律、CoWoS、A16製程技術的看法,以下是部份內容整理:
     





    很多人說摩爾定律已經失效,台積電怎麼看?



    我不在乎。只要我們能夠繼續推動技術進步,我不在乎摩爾定律是否有效。



    許多人只是基於平面微縮two-dimensional scaling對摩爾定律進行了狹隘的定義,事實上已不是如此。看行業內許多創新可知道,我們仍在繼續尋找不同的方法,將更多功能和更多能力整合到更小的外形尺寸。我們繼續實現更高性能和低耗電。因此,從這個角度而言,我認為摩爾定律或技術微縮的步伐持續。我們將持推動產業向前發展。
     



    有收到過來自客戶的令人驚奇的要求嗎?



    不會。我們與客戶密切合作,同時保持開放,確保客戶選擇正確的技術。請記住,我們是晶圓代工業務,目標是幫助客戶實現成功的產品。我的老闆常常告訴我:“我們是晶圓代工業務,要與客戶共同努力以取得成功,但有一個順序,客戶必須先成功,然後我們才能成功。”
     



    Nvidia、AMD、英特爾對CoWoS需求量都很大,目前台積電擴產的進展如何?



    對我們來說,CoWoS 是 AI 加速器的主力。你可以看到目前所有的大型 AI 加速器設計,幾乎都是基於台積電 N5 或 N4 技術加上 CoWoS為主。



    我們正在迅速擴大 CoWoS 產能,複合年增長率遠高於60%。這個數字非常高,但仍在繼續增長,我們與客戶密切合作,確保滿足他們最關鍵的需求。



    上述是指CoWoS產能,同時我們也在擴大自身CoWoS的能力。



    目前最先進的AI加速器,CoWoS 中介層尺寸大約是光罩尺寸的3倍,而光罩尺寸約為800 平方毫米,這提供了集成全光罩尺寸SoC,以及最多8個HBM堆疊的能力。但在兩年後,我們將能夠將中介層尺寸擴大到光罩尺寸的 4.5 倍,讓我們的客戶整合最多12個HBM堆疊。往前看,我們的研發團隊已經開始將 CoWoS 中介層尺寸擴大到光罩尺寸的 7 倍或 8 倍。
     



    12個HBM堆疊夠嗎? 大家想要更多!



    台積電也宣布了另一項創新的系統級整合技術:晶圓系統 (SoW)。你想,晶圓加工設備所能製造的最大尺寸是單一300 毫米晶圓,因此我們將晶圓作為基礎層,並將所有邏輯和高頻寬DRAM 整合在一起,以整合整個晶圓區域。因此,如果你使用 CoWoS 術語來衡量,中介層尺寸的「X」數是 40 倍,非常龐大。這就是我們為客戶提供的服務,以繼續整合更多運算功能和更多記憶體頻寬,滿足未來AI需求。
     



    A16製程技術和全新Super Power Rail 技術,帶來哪些創新?

    A16 是一項重大的技術改進,採用奈米片電晶體,是業界領先且最先進的電晶體架構,特別適合HPC 和 AI 應用。



    同時,我們也增加創新的背面供電設計,這樣的設計可以讓客戶將電源佈線從正面移到背面,進而騰出空間來提高效能,同時改善電源。



    我們的方法與傳統的 BSPDN 設計非常不同,在傳統的背面電源軌中,你只需鑽孔即可將背面金屬連接到正面金屬,但這樣做會佔用空間,並且必須擴大庫單元的佔用空間。在我們的設計中,採用了非常創新的方法,將觸點或電晶體、電晶體的源極移到背面,而不會改變庫單元的佔用空間。
     



    為了實現這一目標,是否會讓傳統的製造步驟會有些混亂?



    是的。但我不想討論特定的流程步驟,我們的研發團隊不會很高興聽到這樣的討論。
     



    這樣就像三明治設計:電晶體、訊號和電源,肯定會增加很多製造成本吧?



    這是肯定的,但如果你看密度、功率和效能的優勢,我認為它的價值遠超過成本。這對HPC和AI尤其重要,因為節能運算是關鍵驅動因素。
     



    是否選擇使用A16製程技術,也必須要採用超級電軌Super Power Rail)這種背面供電的設計?



    A16製程本身定義就擁有超級電源軌,但我們也提供了技術選項,讓我們的客戶可以繼續利用現有的設計資料,而不必使用背面供電。例如,在電源佈線較不密集的行動應用中,您不必使用背面供電。
     



    台積電得A16製程會在什麼時候推出呢?



    我們的目標是在 2026 年下半年為主要客戶投入 A16 生產,從台灣開始生產。
     



    關於導入ASML新一代高數值孔徑EUV設備,台積電怎麼想的?



    回顧一下,台積電是業界第一個將EUV引入大量生產的公司,就EUV的生產使用和生產效率而言,我們今天仍然處於領導地位。我認為我們的研發團隊將繼續研究新的 EUV 功能,顯然包括高數值孔徑high-NA EUV,有很多考慮因素,像是可擴充性和成本等。
  • View More 撼訊.jpeg
    電動車

    撼訊跨足鋰電池原料再生 轉投資優勝新能源

    隨著電動車等各項新技術的快速發展,全球對鋰電池的需求也持續倍增。新技術的高速增長也意味著廢棄鋰電池對環境的威脅日益嚴重。
     



    撼訊集團近期以轉投資模式與「優勝新能源公司」結盟,撼訊跨足鋰電池材料再生市場,此項合作案在桃園蘆竹打造的鋰電池原料再生工廠,已正式運轉。
     



    撼訊科技總經理陳劍威表示,優勝新能源公司擁有獨特的專利技術,能以環保低碳的製程將廢棄鋰電池再生為製造鋰電池的原料,這不僅能減少環境污染,更是實現循環經濟的核心要素。
     



    秉持ESG永續發展的精神,撼訊集團不僅在本業上不斷進行研發創新,對於子公司及相關轉投資的擴展亦抱有相同的期望和要求。藉由在IT領域的多年經驗,積極進行多元化布局,陸續轉投資成立了撼智物聯(IOTU)以及撼衛生醫(TCMC)等子公司,發展智慧建築以及人工智能生技醫療等業務。
  • View More 比特.jpeg
    半導體

    比特大陸3nm挖礦機晶片出貨,台積電中國營收占比衝高,傳小米也會開案3nm晶片

    台積電2024年第二季中國區營收占比衝高到16%,相較上季僅9%,傳出比特大陸3nm製程挖礦機晶片開始出貨,帶動睽違已久的中國區營收占比衝高。據了解,除了GPU/CPU受到算力限制外,中國IC設計公司如挖礦機晶片、手機處理器晶片等對3nm製程都會陸續導入。




    台積電前十大客戶佔營收高達85%~90%,蘋果是始終不變的第一大客戶。過去華為海思曾經蟬聯多年台積電全球第二大客戶,也是在中國地區的第一大客戶,沒了海思後,台積電在中國區的第一大客戶算是紫光展銳,但整個營收規模和海思相比,差距非常遠。前幾年展銳可能還擠得上台積電前十大客戶的邊緣,這幾年比較難。
     



    除了蘋果是台積電始終不變的第一大客戶之外,第二大客戶則是由AMD、高通、聯發科輪流,但自從生成式AI興起後,2023、2024年、2025年,台積電第二大客戶的位子穩穩是輝達Nvidia,其他則有高通、聯發科、AMD、博通、英特爾、索尼和 Marvell等。
     



    之後英特爾在台積電的晶片代工出貨會慢慢增加,佔比和排名會往前靠,估計未來台積電前三大客戶就是蘋果、Nvidia、英特爾了!
     



    現在中國IC設計公司要開案7nm/5nm/3nm先進製程晶片,根據算力會有一些限制,像是高算力GPU/CPU這一類晶片幾乎是嚴格管制。為了要合乎規範,部分中國GPU公司甚至會重新設計降規版的晶片,以能順利在台積電開案流片。
     



    比特幣挖礦機ASIC晶片屬於例外,並不受出口管制。雖然挖礦機晶片需要的運算速度非常快,才能在系統中挖到加密貨幣,但這不是複雜的算力,挖礦晶片做的事情很單一,就只是加快挖礦速度,不需要其他功能。
     



    其實,對台積電3nm製程有興趣的中國IC設計公司不只比特大陸,當初最早在台積電流片3nm製程的是OPPO旗下的哲庫,專門做手機處理器晶片,但2023年中哲庫閃電收攤,留下業界一片錯愕!
     



    日前傳出,小米在手機處理器晶片上有意捲土重來,會先採用4nm製程晶片,之後也會有3nm製程的手機處理器晶片。未來小米在中國的手機處理器晶片市場,會遞補OPPO哲庫的角色。
     



    小米在2017年也曾推出過首款自研手機處理器澎湃 S1,是由小米和大唐聯芯一起開發的中低端手機晶片,當時採用台積電28nm製程,澎湃 S1首發於小米5C,但市場反應並不好。之後小米自研晶片改到周邊,推出影像晶片、充電晶片、電池管理晶片等,現在再度回到手機處理器主戰場,看看小米怎麼打這一局。
     



    回到比特大陸,台積電2024年第二季的中國區營收占比從上季9%暴衝到16%,傳出是比特大陸的3nm製程挖礦機晶片開始出貨。受惠3nm製程出貨,比特大陸可望再度成為台積電中國第一大客戶。
     



    比特幣挖礦機最早也是用CPU、GPU來挖,當年輝達Nvidia一度前後受惠遊戲、加密貨幣兩大波狂潮,造成GPU瘋狂大賣,萬人吹捧。等到這兩波熱潮下去後,沒想到2022年底ChatGPT突然爆紅帶動生成式AI熱潮席捲全球,這波AI狂潮對於GPU的狂熱,更勝當年的遊戲、加密貨幣。不得不說輝達Nvidia黃仁勳除了努力外,還有命中注定擋不住的超級好運!
     



    當時,比特大陸為了追求更快的挖礦速度,逐漸演進至開發專用的ASIC晶片,自此揭開比特幣挖礦機ASIC時代的到臨,當時輝達Nvidia、AMD則是趕快轉到以太幣挖礦需求,又讓GPU又大賺了一大波。
     



    比特大陸的“芯路”,2013年ASIC是採用55nm製程,後來轉進28nm製程,關鍵一役是2017、2018年進入16nm製程,當時成為中國第一家導入16nm製程的IC設計公司,衝得比華為海思還要快,比特大陸一戰成名。
     



    比特大陸也因為搭上中國第一批導入16nm製程列車,當時直接取代展訊成為中國第二大IC設計公司,甚至直逼第一大的華為海思,一度名列台積電全球前五大客戶,2017年占台積電整體營收超過10%。只是,加密貨幣市場起伏劇烈,都要先給台積電預付貨款,且比特大陸也曾跨入開發AI晶片,只是很快收攤結尾。

    相關新聞:

    台積電預防反壟斷和關稅大刀,「自宮」市佔率降至28%

    美國擴大中國成熟製程關稅力道,傳晶片產地認定將追溯至前段半導體製造

    中國成熟製程殺價搶市占奏效!宣布繼續擴產,CIS、面板驅動IC高度承壓
  • View More tsmc.jpg
    半導體

    台積電預防反壟斷和關稅大刀,「自宮」市佔率降至28%

     
    台積電舉行2024年第二季法說會前一天,因為特朗普的台灣關稅論,以及美國威脅ASML若供應給中國最先進的半導體機台設備不排除給予最嚴格的“外國直接產品規則”(Foreign Direct Product Rule, FDPR)等多重利空夾擊下,全球科技股一片慘跌,使得今日台積電法說會對未來的看法更為動見觀瞻。
     





    今日台積電法說會中,董事長魏哲家強調了不下百次「先進製程產能非常、非常、非常吃緊」,主因是AI需要的高階封裝CoWoS產能瓶頸解除的時間點一直在往後延,估計至少要到2025年底才有機會解除,而先進製程得產能供需平衡至少要到2026年。
     





    所以,不只是2024年台積電訂單和營運前景沒有烏雲,連2025年、2026年都非常安全,未來兩年的先進製程/封裝產能確定會非常、非常緊俏,魏哲家強調,公司非常努力竭盡所能去滿足客戶的需求,已經很努力的擴產了。目前,台積電的先進製程包括7nm/5nm/3nm,合計佔營收比重將近70%,未來先進製程的比重會再提升。
     





    其實今天台積電的法會中,最有趣的事情不是大放利多,而是台積電已經開始為潛在的反壟斷和關稅做準備了。台積電全球晶圓代工市佔率高達60%,美國競爭對手GlobalFoundries一直在背後咬住台積電市佔率太高,有反壟斷嫌疑一事,加上近幾日沸沸揚揚的特朗普關稅論,台積電今日做了一個動作,業界解讀為反壟斷和關稅做準備。
     





    台積電宣布,要重新定義晶圓代工,提出「Foundry 2.0」概念。
     





    所謂的「Foundry 2.0」就是把封裝、測試、光罩等等所有與邏輯IC製造相關的環節都拉進來,讓整個Foundry產業的定義更完整、更清晰。
     





    如果是根據舊定義,2023年全球Foundry市場規模僅1,150億美元,但根據新的Foundry 2.0定義,2023年全球晶圓代工產業規模將近2,500億美元。台積電認為,2024年根據Foundry 2.0定義,全球晶圓代工市場規模可再成長10%。
     





    為什麼台積電要把Foundry 2.0把整個半導體市場的定義擴大,讓整個晶圓代工市場的餅變大,而台積電的市佔率會往下降呢?
     





    在Foundry 2.0定義下,2023年台積電的全球市佔率降到28%,原本市佔率已超過60%。業界表示,這一切都是為了反壟斷和關稅做準備,這種事情確實要先準備起來,看看Nvida最近才被法國調查反壟斷。
     





    在Foundry 2.0定義下,現在台積電全球晶圓代工市佔率才28%(2023年),連全球三分之一都不到,就不能再說全部的錢都被台積電賺走了! (但根據Foundry 2.0定義,台積電的市佔率還是會持續增加)。




     





    以下還有幾個今日法說會中的重點:
     






    台積電上調2024年的全年成長率,上季法說會的說法是low-to-mid 20%,這次上調到略為超過mid-20%,主要是反應過去三個月來看到AI和高階智慧型手機的需求變強。

     




    關於川普提出的台灣關稅論,認為全世界半導體的晶片錢都被台灣賺走,應該要給關稅壁壘。未來如果真的有關稅發生,是否會調漲顧客報價? 台積電表示,這些都是假設狀況,並沒有發生,如果真的有那一天會再跟客戶討論。

     




    關於AI強勁需求的真實性,是否有泡沫跡象? 魏哲家強調,這次AI需求相比2~3年前是更真實的。

     


    台積電2024年第二季中國營收佔比提升至16%,第一季僅9%,主要是HPC的貢獻,可能是比特大陸的挖礦機3nm製程晶片開始出貨。
     





    2024年資本支出:原本預估是280億~320億美元,最新版本是300億~320億美元。
     





    台積電2024 年第二季合併營收約新台幣6,735億元,與去年同期相較成長40.1%,稅後純淨2,478.5億元,每股盈餘為新台幣9.56 元(折合美國存託憑證每單位為1.48美元),稅後純淨與每股盈餘皆增加了36.3%。 2024 年第二季毛利率為53.2%,營業利益率為42.5%,稅後純益率則為 36.8%。
     





    2nm製程技術:tape-outs數量將高於3nm和5nm的同期表現。 N2製程技術相較於N3E,在相同功耗下,速度增加1015%,在相同速度下,功耗降低25-30%,同時晶片密度增加大15%。 N2過程將如期在2025年進入量產,其量產曲線預計與N3相似。
     





    N2P製程技術:N2家族的延伸,N2P在N2的基礎上具備5%的效能成長,以及5-10%的功耗優勢。 N2P將為智慧手機和 HPC應用程式提供支持,並計畫於2026年下半年量產。
     





    A16製程技術:作為台積公司的下一代納米片技術,其亦推出採用了超級電軌(Super Power Rail,或稱為SPR)的獨立解決方案。 SPR是創新的最佳晶圓背面供電網路解決方案,此種創新晶圓背面 傳輸方案為業界首創,保留了閘極密度與元件寬度的彈性。 相較於N2P,A16在相同工作電壓下,速度增快8~10%,在相同速度下,功耗降低 15~20%;晶片密度提升7~10%。 A16是具有複雜訊號佈線及密集供電網路的高效能運算(HPC)產品的最佳解決方 案。 A16計劃於2026年下半年進入量產。

    相關新聞:

    美國擴大中國成熟製程關稅力道,傳晶片產地認定將追溯至前段半導體製造

    中國成熟製程殺價搶市占奏效!宣布繼續擴產,CIS、面板驅動IC高度承壓

    ASML重申2025年恢復強勁成長,今年靠DDR5和HBM轉換商機力撐

     
  • View More asml1.JPG
    半導體

    ASML重申2025年恢復強勁成長,今年靠DDR5和HBM轉換商機力撐

    ASML發布的2024 年第二季財報中,銷售淨額 62 億歐元,淨收入16 億歐元,毛利率51.5%。第二季度訂單金額為 56 億歐元,其中 25 億歐元為 EUV 訂單。ASML 總裁暨執行長 Christophe Fouquet 表示,第二季高標表現,來自於DUV系統的營收貢獻。
     



    針對第三季財測,ASML指出,預估銷售淨額介於67億~73億歐元之間,毛利率預估50%~51%。同時,ASML再度強調2024年是過渡性的一年,2025年將會恢復強勁成長。
     



    從ASML的投資人會議中客看出幾個重點,部分資訊是之前已經公開揭露:
     




    第三代EUV曝光機Twinscan NXE:3800E系統在下半年會大量交付,主要用於3奈米、2奈米製程以下的半導體晶片。





    高數值孔徑極紫外光微影設備(High NA EUV)實現解析度縮小到8nm,創下世界紀錄。第二個High NA EUV系統已經出貨,業界猜測有指向台積電。相較於0.33NV(NXE:3800E)系統,High NA EUV可讓電晶體增加三倍。





    第二季中國占比仍高達49%,與第一季的占比相同,台灣和韓國占比也同步上升至11%和28%。ASML指出2024年會有15%的中國銷售額會受到1月實施的出口管制規則影響。





    第二季邏輯和記憶體占比分別為54%和46%。ASML看法是,2024年整體邏輯營收會低於2023年,因為庫存調整因素,而記憶體則會較2023年增加,主因為大量產能轉換到DDR5和HBM。
  • View More chip.JPEG
    半導體

    美國擴大中國成熟製程關稅力道,傳晶片產地認定將追溯至前段半導體製造

     
    經歷競選活動上的槍擊事件後,川普在本屆美國總統大選是勝券在握,無論是拜登或川普,美國進一步強化關稅政策的方向是一致的。近期有消息指出,美國對中國半導體的下一個目標是成熟製程,但方向上不是管制成熟製程的機台設備,而是拉高關稅門檻的同時,從嚴認定晶片產地,認定標準從原本的最後封裝地點,改為追溯至晶片的前端製造和光罩產地。




    拜登政府已經宣布對中國大陸製造的電動車、半導體、鋰電池等開徵或提高關稅。其中,針對半導體關稅自2025年將由目前的25%提高到50%。




    川普這次競選主張的關稅政策,特別針對中國的半導體產品拉高關稅到60%。可以看出,無論是川普或拜登當選,都是朝向針對中國製造的半導體提高關稅,這會加速外商訂單移出中國製造,所有輸往美國的電子產品減少使用中國製造的半導體晶片和零組件。




    值得注意的是,川普是「全關稅」政策,意即所有美國以外的商品都要加稅,至少會徵收10%關稅。以半導體晶片而言,台灣、韓國製造的晶片可能也都會被加稅,因此,不排除IC設計客戶會在下半年開始提前趕單、搶投片、搶加單,避免川普上台後,可能會從嚴執行的關稅策略。




    美國阻止中國半導體發展的主要武器,其實一直以來都不是關稅。而是鎖定高階製程技術、人工智慧AI算力,利用出口管制、實體清單等政策,加上拉攏荷蘭、日本等盟友,以限制半導體設備和材料出口到中國的方式,來阻擋其發展。




    尤其在人工智慧AI方面,更是限制Nvidia、AMD、英特爾的AI相關高階算力晶片出口到中國。不過,美國並未限制中國企業租借雲端算力,算是留了一道口,部分美國企業會將AI伺服器的雲端算力,租借給中國企業使用。




    隨著高階製程技術、AI算力的防堵佈局完成,美國逐漸轉向中國的半導體成熟製程。




    由於中國的先進製程發展,其機台設備的採購受到美國出口管制嚴格限制,因此中國逐漸將重心移到成熟製程產能的擴充。另一個原因是,28奈米到40/55奈米的半導體製程,其實對終端產品而言的應用範圍最廣。因此,成熟製程產能成為中國半導體廠擴產的重點。




    不過,中國經歷多年來積極擴產成熟製程產能後,也開始陷入嚴重產能過剩,殺價競爭的循環中。




    美國在封鎖中國高階製程和AI算力晶片後,開始將目標放向中國的成熟製程。之前,許多中國半導體廠擔心成熟製程的機台設備會被限制採購,所以不斷提前購買成熟製程相關的設備,也間接使得許多美系和歐系半導體設備大廠的中國訂單占比不斷攀高。




    據了解,美國限制中國成熟製程的方法,不會是針對機台設備發出限制令,而是採用關稅壁壘,避免內含中國成熟製程晶片的產品,低價銷往海外。並且,認定標準會從最終封裝地點,改為追溯晶片和光罩產地是否是中國製造。




    另一個美國關稅壁壘產生的影響,是一旦川普真的採用全關稅政策,即使是台灣生產或韓國生產的晶片,都可能會被加稅。畢竟川普也曾說過台灣半導體搶走太多美國人的工作,應該要向台灣加稅。




    雖然關稅部分的不確定性太高,但已經讓部份業者感到憂心。同時,也在觀察,是否會因為擔心川普上台後的關稅政策,而在下半年會有提前下單的動作出現,屆時恐會再度打亂半導體供應鏈的正常供需狀態。




    另外,一旦美國針對中國半導體增加關稅,一來會加速當地外商的訂單轉出中國。另一方面,外商在中國當地的投資也會放緩或撤出,半導體封測廠商尤其明顯,包括日月光、京元電、力成、南茂等都陸續出脫當地轉投資的持股。

    相關新聞:

    台積電預防反壟斷和關稅大刀,「自宮」市佔率降至28%

    中國成熟製程殺價搶市占奏效!宣布繼續擴產,CIS、面板驅動IC高度承壓

    中國DRAM產能即將大軍壓進!台系記憶體廠陷入高庫存、低買氣的困局