全部新聞分類

  • View More ic.JPG
    半導體

    高通訂單加速轉出中芯國際,世界先進擴產接住電源管理晶片

    4月最後一天,晶圓代工廠世界先進、記憶體封測廠力成、消費性IC設計盛群集中在同一天舉行法說。 幸好,這次三家半導體廠同步釋出第二季手機、消費性電子觸底後開始回暖的訊息,終於沒有把法說會開成法會!
     



    其中,世界先進釋出的前景比市場預期的樂觀很多,提出第二季出貨強勁成長17~19%,產能利用率可比第一季53%提升10個百分點至65%左右,惟毛利率微幅下滑至25~27%。再者,世界先進第二季會有LTA長期合約的貢獻。
     



    值得注意的是,世界先進在法說會中釋出擴充晶圓五廠4000片的訊息,這4000片的擴增產能其實被討論很久了,但礙於市場需求不振,公司一直延後擴充腳步。
     



    世界先進晶圓五廠增加的產能主要是電源管理IC,來自於“從中國轉出的訂單”。據了解,高通把電源管理晶片PMIC從中芯國際轉單的動作加快,其他像是芯源系統MPS過去也高度依賴中國大陸的晶圓廠做代工如中芯國際、華虹等,受到過去幾年地緣政治影響,也都陸續轉出訂單,因此世界先進決定擴產支援。
     



    同樣也是地緣政治影響,中國大陸本土晶圓代工廠大力擴產成熟製程產能,價格戰猛烈,在世界先進法說會中,分析師也關切是否會被這些過剩的成熟製程產能或是價格戰影響?
     



    世界先進明確表示,不會加入價格戰,中國晶圓廠的殺價競爭反映的是過度擴產成熟製程後的產能過剩,以及整體經濟需求疲弱,公司還是會聚焦在提供服務和有競爭力的技術,在需求疲軟時,在價格上會與客戶共體時艱,等到庫存健康化後再恢復,但對於殺價接單是沒有興趣的。
     



    另外,世界先進也提到,因為地緣政治風險,導致許多外商訂單從中國的晶圓廠轉出去,這些都是世界先進的機會,這樣的趨勢其實2023年就很明顯,未來轉單帶動的效益會更大,尤其是電源管理晶片PMIC。
     



    另一點關注點是,2024年世界先進股東會中將改選9名董事,但母公司兼大股東台積電並未派任法人代表參選,先前台積電的法人代表方略和曾繁城,都改以自然人的身份參選。台積電表示,將行使投票權支持適當的董事候選人。
     



    台積電目前持股比例達28.32%,為最大股東,其次則是國發基金16.72%。台積電表示,因為與世界先進並非從屬關係,為強化經營者責任與公司治理,因此不再派任法人代表董事進入世界先進的董事會,台積電也沒有無出脫世界先進持股的計畫。
     



    世界先進第一季營收96.33億元,出貨量較上季減少4.1%,ASP持平,毛利率24.2%,晶圓出貨量46.9萬片。第一季營收中,電源管理晶片65%、大尺寸LCD面板驅動晶片19%、小尺寸面板驅動晶片11%,其他5%。展望第二季,預計晶圓出貨量將增加17~19%,ASP較上季減少2~4%,毛利率25~27%。
     



    世界先進表示,第二季的訂單能見度轉佳,景氣觸底後逐步回暖,消費性電子上半年庫存可望回到正常健康水位,但工業和車用電子的庫存調整要到第二、三季度才會正常化,預計下半年景氣狀態是溫和成長。
     



    世界先進2024年整體產能微幅增加至338.7萬片,資本支出為新台幣38億元,其中60%用於晶圓五廠的擴充,其他為例行性支出。
     



    有關403地震對世界先進的影響,公司指出,80%廠區設備在一天內復原,其他多個廠區多在4天內恢復生產,而晶圓二廠則是在一周後恢復生產,評估對線上晶圓產能報廢導致的影響占第二季約1.5個百分點,2%晶圓延遲到第三季出貨。
     



    世界先進主要是8吋晶圓廠,多年來一直規劃跨入12吋晶圓廠建置,只聞樓梯響,未真正定案,但只差臨門一腳。
     



    業界一直傳出世界先進的12吋廠落腳新加坡,台積電也會給予必要的技術和建廠資源支持,有機會在年內動工。不過,這次世界先進的法說會中並未如外界預期宣布這個好消息。
     



    世界先進指出,要蓋12吋廠考慮的面向非常多,包括技術、客戶、財務等等,必須要有十足把握才能出手。同時,公司也表示可以朝與客戶JV方向走。至於落腳新加坡,公司指出,台灣和新加坡都是非常適合投資半導體的地區,新加坡政府也相當積極拉攏有能力建12吋廠的廠商,但其他的不便多談。

    相關新聞:

    台積電:除了AI,沒一個能打的!!

    台積電揭示2nm以下最新A16製程,結合超級電軌與奈米片電晶體架構

    50%關稅加上去,恐讓中國成熟製程產能過剩更嚴重,「國產化」速度勢必提前

    中芯國際咬牙擴增28nm產能,殺價戰與龐大折舊金額夾擊

    群聯:不跟進中國模組廠低價拋售NAND Flash,下半年AI PC生意樂觀
  • View More tesla 1.JPG
    電動車

    中國會批准馬斯克“將特斯拉蒐集數據傳回美國”的要求嗎?

    馬斯克昨日突訪中後,中國汽車工業協會隨即發布一份清單,列出76款「已通過測試並符合中國數據安全要求」車型,包括特斯拉的Model Y和Model 3。
     



    今天更傳出特斯拉將與百度達成協議,授權特斯拉取得其地圖繪製資訊,且百度還將提供特斯拉車道級導航系統,更進一步朝推動上架特斯拉全自動輔助駕駛(FSD)。
     



    2023年底中國官方曾宣布,部署開展智能網聯汽車准入和上路通行試點工作,L3、L4 智能網聯車在限定區域內開展上路試點,且是第一次明確事故責任判定。當時傳出特斯拉積極爭取全自動輔助駕駛FSD在中國落地。
     



    今年4月,社群平台X上有用戶問到特斯拉何時會在中國推出FSD功能? 當時馬斯克回覆 “很快就能實現。”
     



    事實上,特斯拉爲了全自動輔助駕駛FSD能在中國落地,做了許多準備工作。2021年,特斯拉在上海成立數據中心,中國業務產生的所有數據,包括生產數據、銷售數據、服務數據和充電數據等都儲存在中國境內,以符合相關監管的要求。
     

    2023年更傳出特斯拉在上海組建了一個約20人的全自動輔助駕駛FSD團隊,由特斯拉從總部派來的工程師進行培訓。再者,特斯拉也在上海成立一個規模上百人的數據標註團隊,為訓練FSD的算法做前期預備。
     



    特斯拉四年前就推出全自動輔助駕駛FSD系統,但一直未在中國上市,而FSD系統是特斯拉的賣點之一,馬斯克持續爭取FSD系統在中國上路,以提升在中國的銷量。
     



    值得注意的是,中國本土汽車品牌也推出類似軟體來獲得與特斯拉類似的優勢。小鵬汽車表示正在升級其XNGP高級駕駛輔助系統軟體,計劃今年向各地客戶提供所有自動駕駛功能。
     

    目前特斯拉在中國蒐集到的數據都儲存在上海,並未傳回美國。傳出馬斯克這次訪京,是尋求批准讓特斯拉在中國搜集到的數據可以轉移到海外,以訓練自動駕駛技術演算法。
     



    由於特斯拉的電動車在中國遭遇到比亞迪、小米本地車款的前後夾擊,銷售量受到影響,因此積極培育新商機能維持公司營運成長,其中全自動輔助駕駛FSD系統的銷售,即是馬斯特最寄與厚望的產品線。
     



    日前,特斯拉宣布調將全自動輔助駕駛FSD系統的售價,美國由12,000美元降價至8,000美元,降幅高達三分之一,且軟體訂閱費用從每月199美元下調為每月99美元。
     



    特斯拉宣布FSD大降價有三個目的,一是直接為特斯拉挹注營收; 二是光是賣車的技術門檻太低,市場價格戰太血腥,特斯拉要把準備很久的AI、自動駕駛系統拿出來賣,拉升競爭門檻; 三是刺激FSD系統滲透率的提升,為特斯拉蒐集到更多駕駛數據,進而精進特斯拉訓練演算法,提升未來自動駕駛的安全性和全面鋪開。
     



    只是,中國可能同意馬斯克的要求,讓特斯拉在中國搜集到的數據都回傳回美國嗎? 或是會有什麼交換條件呢?

     
  • View More Hynix.PNG
    半導體

    三星DDR3將提前退役,HBM耗損Wafer面積是DDR5三倍,DRAM產業正處關鍵轉折點

    三星從2年前就規劃逐步要退出DDR3供應,市場傳出,原本計畫2024年底要針對DDR3做EOL(end-of-life)現在提前至2024年中停止生產DDR3,而SK海力士在DDR3晶片上的供應原本就不多,預計未來會更淡出DDR3供應之列。業界預期,DDR3提前退役,可望加速DDR3庫存消化,準備啟動另一番漲勢。
     



    記憶體今年市況不錯,主要是2023年上游原廠虧損嚴重,開始從源頭控盤拉抬價格,這招式是千年不變,萬年受用!NAND Flash和DRAM產業也在這一輪的景氣循環中,正大光明地走出谷底,等待終端需求大力添加柴火。
     



    這一波DRAM產業有兩個題材,一是AI需要的高頻寬記憶體HBM對於DDR5的排擠效應,且HBM真的吃掉很多記憶體的Wafer產能; 第二是韓系國際記憶體大廠年底前要陸續退出DDR3的生產之列。
     



    兩大韓廠三星、SK海力士要退出DDR3生產已經規劃許久,日前傳出最大供應商三星原本是計劃年底前停止生產,基於產能配置,提前到2024年中停止生產DDR3,SK海力士原本的DDR3供應數量就很少,逐步淡出符合預期規劃,這對於台灣DRAM相關廠商是好消息。
     



    HBM在AI時代成為要角,記憶體產業主軸是“排擠”。

    首先,HBM是SK海力士的翻身之作,未來在技術、產能、資源分配上,無論是SK海力士、三星或美光,絕對是全力搶占HBM市佔率,AI才是未來主角。因此,這些大廠不可能會有太多產能給傳統的DRAM,HBM對DDR5產能的排擠,恐怕剛開始而已。除非,你覺得AI是泡沫,是曇花一現,你覺得ChatGPT很快會消失。
     



    業界分析,HBM生產週期包含TSV封裝,比DDR5的生產週期至少多出1.5個月,HBM3E的Wafer面積更是DDR5的三倍大。假如投片16Gb DDR5顆粒,每片Wafer的Gross die是1800顆,同樣的Wafer拿去生產HBM3E,搞不好只剩下600顆。
     



    DRAM廠為了解決HBM瓶頸且拉升良率,只會有越來越多的DRAM產能轉移到HBM身上。有消息指出,HBM平均良率約在65%左右,但業界認為實際的良率其實更低。而且,HBM一旦有一個晶片有缺陷,整個封裝都不能用。另外,HBM的生命週期又比傳統DRAM短。
     



    美光執行長Sanjay Mehrotra 曾表示,HBM因為包含了邏輯控制晶片,加上更複雜的封裝堆疊,且生產HBM過程會很大幅度影響良率,因此生產HBM3和HBM3E會吃掉很大部分的DRAM Wafer供應。
     



    輝達Nvidia執行長黃仁勳也指出,HBM是技術奇蹟,它的技術非常複雜,但能提高AI效能,附加價值非常高,他非常重視與SK 海力士、三星在HBM記憶體上的合作關係。
     



    全球市場中,HBM市佔率最高的是SK海力士,其次是三星,兩者合計應該有占90%市場,美光排名第三。
     



    目前,SK 海力士的 HBM3E已經量產,輝達Nvidia絕對是優先出貨的重要客戶。
     



    三星的8層HBM3E在量產時程上微幅落後,外傳,三星HBM3的良率只有10~20%,但SK海力士的HBM3良率卻已來到60~70%。幸好,三星最終仍是拿下輝達H200訂單。三星也強調自己將會推出業界首款12層HBM3E,是目前容量最高HBM產品,預計會很快量產。

    相關新聞:

    盧超群:HBM良率轉順至少還要2~3年,對DRAM排擠效應剛開始而已

    群聯:不跟進中國模組廠低價拋售NAND Flash,下半年AI PC生意樂觀

    盧超群:DRAM回暖旺到2025年,報價重回疫情前水平

    力積電銅鑼12吋廠啟用典禮,宣布切入CoWoS 先進封裝
     
  • View More tsmc 2.JPG
    半導體

    台積電揭示2nm以下最新A16製程,結合超級電軌與奈米片電晶體架構

    台積電日前舉行的北美技術論壇中,正式揭示六大創新技術問世。其中,最大亮點應該是台積電在2奈米製程技術之後,最先宣布的TSMC A16技術問世。
  • View More 展銳.PNG
    半導體

    紫光展銳傳裁撤射頻晶片團隊

    手機芯片設計公司紫光展銳過去幾年歷經了十分頻繁人事異動後,近一年來在半導體行業可謂是悄無聲息。展銳曾經是中國老牌的IC設計公司之一(前身展訊通訊成立於2001年,2018年與銳迪科RDA合併成為現在的紫光展銳),也是備受注目的中國半導體“國家隊”、紫光集團“準IPO”企業,更是中國自研手機處理器(除了華為海思)的第一把交椅。
     



    經歷2022年紫光展銳執行長楚慶離職,同為紫光集團的任奇偉接任CEO,2023年又宣布董事長由吳勝武改由馬道傑擔任後,展銳就這樣靜悄悄了好一陣子,近日傳出來的消息卻是裁員,業界傳出展銳針對射頻PA團隊進行人員精簡。
     



    事實上,中國射頻晶片領域特別“捲”,洗牌賽與整合賽是必然的方向。
     



    更早之前,有中國射頻廠商指出,射頻晶片已經進入無序競爭的地步,從射頻開關、手機PA、Cat.1 PA、WiFi6FEM、濾波器等,整個產業過度內捲與競爭的結果,是“ 天天都有最低價”,未來情況會更嚴峻。
     



    射頻晶片曾經備受中國資本的青睞,這要追溯到3~4年前,全球疫情蔓延背景下的極度“缺芯”,以及中國芯片國產化的大時代背景下,中國射頻芯片公司大量成立。因為射頻晶片門檻不算高,應用領域廣泛,且產品週期短,射頻各個細分領域都吸引大量資本進入,希望能從國際巨頭佔據的大份額市場中,取一瓢飲。
     



    不過,疫情過後手機市場供應鏈庫存一堆、沒有換機的新吸引力,加上供給過剩加劇,中國射頻晶片在高端市場無法挑戰Skyworks、Qorvo、高通等國際巨頭,在中低價市場互相競爭 的結果,導致中國射頻晶片早已經走上洗牌戰之路。
     



    近年來,低調的展銳也積極佈局非洲、印度、泰國等新興市場。 展銳曾對外指出,在新興市場積極與諾基亞、傳音等跨國品牌合作,尤其在非洲,展銳更是攜手當地營運商和合作夥伴,助力非洲邁向數位經濟時代。 此外在印度、泰國等新興領域,也是展銳擴展市佔率的重點。
     
  • View More ASML high NA.JPG
    半導體

    英特爾搶頭香完成ASML第一台High NA EUV組裝,志在搶贏台積電

     

    英特爾宣布,美國俄勒岡州希爾斯伯勒的研發基地中,已完成業界首台ASML供應的商用高數值孔徑極紫外光微影設備(High NA EUV)組裝,型號為TWINSCAN EXE:5000。
     



    該機台正在進行多項校準步驟,預計2027年啟用,率先用於Intel 14A流程,協助英特爾推廣未來流程藍圖。 此設備將投影印刷成像到晶圓的光學設計進行改造,明顯提升下世代處理器的圖像解析度和尺寸縮放。
     



    英特爾計劃於2025年Intel 18A的產品驗證,以及未來Intel 14A的量產階段,都會採用0.33和0.55數值孔徑的EUV微影設備。
     



    《高數值孔徑極紫外光微影設備High NA EUV小科普》
     



    高數值孔徑極紫外光High NA EUV技術使用人工的13.5納米光波長。 此一光波長是利用強大的雷射光束,照射加熱至將近22萬攝氏度的錫滴上而產生,此溫度高出太陽表面平均溫度40倍。 光束從含電路圖案模板的光罩反射,再穿過高精度鏡組打造的先進光學系統。
     



    NA(Numerical Aperture)數值孔徑為衡量光收集和聚焦能力的重要指標,用在光學系統上,決定了光刻的實際圖案解析度和縮小晶體管尺寸,以及能夠做到的製程節點。 然而,要進一步製造尺寸較小的晶體管,仍需要全新的晶體管結構和相關製程步驟。
     



    ASML目前的EUV曝光機NA只有0.33,對應的解析度為13nm,可以生產金屬間距在38~33nm之間的晶片。 往下發展到金屬間距縮小到30nm以下,也就是對應的製程節點在5nm以下,解析度就不夠了。 或是需要用多重曝光(pattern shaping)技術來輔助,會導致成本增加且影響良率。
     



    ASML新一代的高數值孔徑EUV設備EXE:5000可以做到0.55 NA,解析度縮小到8nm。 相較於0.33數值孔徑的EUV微影設備,高數值孔徑EUV微影設備(或0.55數值孔徑的EUV微影設備)可為類似的晶片尺寸提供更高的影像對比度,可減少每次曝光所需 的進光量,並縮短每層列印時間,從而提高晶圓廠的產能。
     



    採用第一台高數值孔徑EUV的英特爾指出,當High NA EUV微影設備與其他在英特爾晶圓代工服務的領先製程技術相結合時,印刷尺寸預計將比現有EUV機台縮小1.7倍。 由於2D尺寸縮小,密度將提高2.9倍,英特爾將持續引領半導體產業發展更小、更密集的圖案化(pattterning)技術,進一步延伸摩爾定律。
     



    《台積電為什麼不急著導入高數值孔徑EUV? 》
     



    過去半導體進入EUV時代,全球也是三星第一家先使用EUV設備的Foundry廠,台積電第一代7nm製程仍是用多重曝光,第二代7nm製程才改用EUV技術。
     



    晶體管架構從FinFET(鰭式場效晶體管)轉換到GAA(環繞閘極場效晶體管)架構,競爭對手三星、英特爾都在3nm製程搶著採用GAA晶體管,台積電的3nm電晶體架構仍是沿用FinFET,直到2nm製程才會改採 GAA晶體管架構,預計2025年量產。
     



    從EUV技術導入、採用GAA電晶體,一直到使用高數值孔徑EUV技術等歷程,可以看出台積電的作風偏向謹慎保守,不會衝第一個採用新技術。
     



    台積電曾回應何時使用高數值孔徑EUV設備時表示,技術本身的價值只有在為客戶服務時,方能彰顯出來。 每當新的工具或設備,台積電都會先研究,看看工具的成熟度和成本,再進一步評估如何實現。


     



    圖說:ASML的TWINSCAN EXE:5000系統的總重量超過150噸,將先分裝於250多個貨櫃中,並集中裝入43個集裝箱,集裝箱由多架貨機運送至西雅圖,再利用20輛卡車 運輸到俄勒岡州。 一台售價將近4億美元! ! !

    相關新聞:
    台積電:除了AI,沒一個能打的!!
    ASML首季財報靠中國獨撐,淨系統收入貢獻高達49%
     
  • View More tsmc 3.jpg
    半導體

    台積電:除了AI,沒一個能打的!!

     
    AI成功拯救全世界!
     



    台積電今日法說會中指出,手機復甦緩慢,PC產業雖落底但緩慢成長,傳統伺服器需求不好,最慘的是汽車,供應鏈庫存一堆,原本預期汽車需求能轉佳,但卻事與願違(難怪特斯拉這麼慘),只有AI需求仍是非常非常強勁。
     



    因此,台積電宣布雙雙下修全球半導體成長率和全球晶圓代工成長率,但自己今年的成長率不變。顯見台積電是AI需求強勁的最大受惠者,仍是一個人的武林!



     

    台積電今日法說會釋出的訊息是好壞參半!怎麼說? 好消息是談到AI需求,總裁魏哲家用 “非常、非常強勁” 來形容AI需求現況。




    台積電強調AI相關產品的定義,是伺服器AI 處理器包括執行訓練(training)和推論(inference)功能的GPU、AI加速器和CPU,並不包含網路、邊緣或終端裝置 AI。
     



    魏哲家更指出,2024年伺服器AI的營收貢獻將較2023年成長超過100%,占2024年總營收3~4%。現在幾乎全球所有AI公司都正在與台積電合作,預計未來五年,伺服器AI處理器將以 50%的年複合成長率前進,預計到2028年,AI將占台積電營收超過20%。
     



    壞消息則是,除了AI之外,手機、PC、物聯網、傳統伺服器、汽車等表現都不出色。PC產業落底正緩步回升、手機需求回升緩慢、傳統伺服器不好,汽車更是不如預期,相較三個月前法說時,汽車產業狀況更糟,完全沒復甦,今年全年汽車電子預計會是負成長。
     



    台積電指出,2024 年因為總體經濟和地緣政治的不確定性持續存在,加上還在消化庫存,宣布調降2024年半導體市場(不含記憶體)的全年展望,原本預期全年半導體市場成長超過10%,現在調降至約10%左右,晶圓代工市場原本預計成長約20%,現在調降至15~19%。
     



    針對台積電本身,公司仍預期2024年營收是逐季成長,以美元算,全年營收成長幅度維持21~24%不變。同時,台積電也指出2024年資本支出不變,維持280億~320億美元,約70~80%將用於先進製程技術,10~20%將用於特殊製程技術,其他的10%用於先進封裝、測試、光罩等。








    針對製程技術和應用的展望,有以下幾個更新:



    N2製程:預期2奈米技術在最開始發展的頭兩年,產品設計定案(tape outs)數量將高於3奈米和5奈米,幾乎都是AI相關客戶。台積電的2奈米將採用奈米片(Nanosheet)電晶體結構,在密度和能源效率上都會是業界最先進的半導體技術。
     



    目前台積電的2奈米製程技術研發順利,裝置效能和良率皆按照計畫甚或優於預期。2奈米製程預計在2025年進入量產,其量產曲線預計與3nm製程相似。
     



    N3製程:2024年營收貢獻會是2023年的三倍以上。也因為3nm製程需求非常強勁,會轉換部分5nm製程的設備到3nm製程。
     

    N4和N5製程:目前多數AI加速器都是在4奈米和5奈米製程,部分客戶開始在合作下一個製程世代,主要是基於耗電因素。

     

    N7製程:目前7奈米製程的產能利用率仍是偏低,但台積電看好7nm製程未來2~3年的需求可望再有一波拉升,重演之前28奈米製程上,從谷底再上演共不應求的戲碼。
     

    CoWoS產能:2024年產能擴充超過兩倍,但仍是無法滿足AI客戶需求。

     

    全球生產據點的現況更新:
     



    美國亞利桑那州廠:計畫設立三座晶圓廠。第一座晶圓廠已於4月進入採用4nm製程技術的工程晶圓生產(engineering wafer production),按計畫在2025年上半年開始量產。
     



    第二座晶圓廠則是繼升級為採用2nm製程技術,支援當前最為強勁的AI需求。第二座晶圓廠目前已經完成上樑,預計2028年開始生產。
     



    台積電也宣布亞利桑那州建造第三座晶圓廠的計畫,預計會採用2奈米或更先進的製程技術。
     



    此外,在宣布第三座廠興建同時,台積電也宣佈了三家大客戶的支持與聲援,分別為蘋果、Nvidia、AMD。看來是台積電美國廠的鐵三角客戶。
     



    另一個與美國廠相關且值得關注的訊息是,艾克爾(Amkor)在2023年底宣布在美國亞利桑那州投資20億美元建立美國最大先進封裝測試廠,就近服務台積電和蘋果(Apple)客戶。台積電在今日法說會回應,很高興看到艾克爾要就近蓋封測廠,一起合作支持客戶。
     



    日本熊本廠:第一座特殊製程技術晶圓廠已將採用12/16奈米和22/28奈米製程技術,2024年第四季進入量產。
     



    日本第二座特殊製程技術晶圓廠預計將採用40奈米、12/16奈米和6/7奈米製程技術,以支持消費性、汽車、工業和HPC相關應用的策略性客戶。第二座廠計畫於2024年下半年開始興建,2027年底開始生產。
     



    德國廠:預計在德國德勒斯登建立一座以汽車和工業應用為主的特殊製程晶圓廠,並計畫於2024年第四季開始興建。
     



    台積電也再次強調,因為海外的生產成本增加,為了反映境外廠區的不同價值,都會反映在公司的定價策略上。同樣買一個漢堡+薯條+可樂套餐,價格在台灣、美國、日本、德國都不一樣!這樣也是合理啦!
     



    針對403地震對營運的影響,台積電指出,地震是芮氏規模7.2,晶圓廠是5,一如當時說明,晶圓廠整體設備的復原率在地震發生後10小時內即復原超過70%,並在地震發生後的第三日結束前完全復原,預計對第二季毛利率影響0.5個百分點,主要是因為晶圓報廢和材料損耗相關的損失。







    根據台積電公佈的 2024 年第一季財務報告,合併營收約新台幣5926.4億元,較上季減少5.3%,稅後純益約新台幣2254.9億元,每股盈餘為8.7元(折合美國存託憑證每單位為 1.38 美元),較前一季減少5.5%。
     



    以美元計算,台積電2024 年第一季營收188.7億元,較前一季減少了3.8%。再者,台積電2024年首季毛利率為 53.1%,毛利率微幅上漲,營業利益率為 42.0%,稅後純益率則為 38.0%。
     



    2024 年第一季,3奈米製程出貨佔整體營收9%、5奈米製程出貨佔37%,7奈米佔19%。總體而言,先進製程(包含7奈米及更先進製程)營收占比高達65%。
     



    台積電提出2024年第二季營運展望,營收將介於196億~204億元,匯率假設基礎美元兌新台幣為32.3,等同預測第二季營收將季增6%左右,預計毛利率介於51~53%,營業利益率介於40~42%。4月地震估計影響毛利率約0.5個百分點,合計地震、通膨、電價對台積電第二季毛利率影響約0.7~0.8個百分點。

    相關新聞:

    台積電揭示2nm以下最新A16製程,結合超級電軌與奈米片電晶體架構

    中芯國際咬牙擴增28nm產能,殺價戰與龐大折舊金額夾擊

    50%關稅加上去,恐讓中國成熟製程產能過剩更嚴重,「國產化」速度勢必提前

    高通訂單加速轉出中芯國際,世界先進擴產接住電源管理晶片



     
  • View More asml 1.JPG
    半導體

    ASML首季財報靠中國獨撐,淨系統收入貢獻高達49%

    全球設備龍頭ASML在2024年第一季營收中,中國區淨系統收入占比高達49%,逼近整體營收一半,且更較2023年第四季佔比39%上升。顯示,在美國禁令步步逼近下,ASML快馬加鞭將設備出貨給中國。
     



    整體來看,ASML交出的第一財財報是低於預期的。第一季36 億歐元的訂單金額,相較上季91.9億歐元的歷史最高紀錄大幅減少,且EUV 訂單金額為 6.56 億歐元也大幅減少。
     



    根據ASML公布,第一季營收 53 億歐元,其中包括 13 億歐元的安裝基礎業務,平均毛利率為 51%,淨利潤為 12 億歐元。在手訂單金額為 36 億歐元,其中 EUV 訂單金額為 6.56 億歐元。
     



    ASML也預計第二季營收為 57 億~62 億歐元。 其中包括 14 億歐元的安裝基礎業務,預計毛利率在 50%~51% 之間。
     



    ASML強調,公司看待 2024 年的節奏並沒有改變,延續之前的基調,會將2024年視為一個過渡年,預期2024 年的收入與 2023 年相差無幾,這意味著2024年下半會比上半場更強勁。而2024 年毛利率會略低於 2023 年的毛利率。
     



    庫存方面,ASML指出,下游庫存獲得很好的控制,下降到我們認知的正常水平,符合預期。意即,ASML看好2024 年半導體行業逐漸復甦,為2025年的大成長年做好準備。
     



    ASML看好2025年有三個原因:
     



    第一,2025 年ASML的EUV設備的最大份額、低數值孔徑 EUV工具將是 NXE:3800,帶來更好的售價和毛利率。
     



    第二,在安裝基礎業務方面,2025 年將是更加強勁的一年,原因是ASML持續提高 EUV 服務的毛利率,隨著市場復甦, 2025 年的升級業務將明顯成長。
     



    第三,高數值孔徑極NA方面,銷售量將成長,成本可望降低。







    EUV技術方面的最新進展:
     



    相較於傳統DUV浸入式曝光系統(193nm),EUV 曝光機使用的極紫外光波長(13.5nm)顯著降低,晶片廠生產7奈米製程以下的技術時,多圖案的DUV步驟可以用單次曝光EUV 步驟代替。
     



    當進入3奈米以下製程,則是使用EUV曝光機,如果要大量推進至2奈米製程,或是更小的尺寸,那就要使用高數值孔徑NA曝光機。
     



    相較於0.33 數值孔徑的EUV曝光機,高數值孔徑NA曝光機。
     

    將數值孔徑提升到 0.55,可進一步提升解析度。NA越大、解析度越高。
     



    ASML第一台第三代EUV曝光機NXE:3800已經交付。NXE:3800系廾可以用來生產4奈米、5奈米、3奈米和2奈米製程晶片。其吞吐量相較NXE:3600D,可以從每小時 160 片晶圓增加到每小時 220 片晶圓,生產力提高了 37.5%,NXE:3800設備美台單價1.8億美元。
     



    ASML預期,2024年下半和2025年,NXE:3800 將成為 EUV 銷售中越來越重要的一部分,也會為公司帶來了更好的平均售價和更好的毛利率。
     



    高數值孔徑極NA設備方面,提供的電晶體密度實際上是低 NA 工具(標準型EUV)電晶體密度的 3 倍。ASML的第一個高數值孔徑EUV設備位於荷蘭 Veldhoven 的 實驗室,第二個高數值孔徑EUV系統EXE:5200是在英特爾,計劃會在14A晶片的生產中使用該工具。
     



    高數值孔徑極NA系統一台造價3.5億美元,ASML致力推進高數值孔徑極NA設備進入半導體產業,旨在兩大目的:一是維持在晶片設備製造領域龍頭的地位,二是滿足台積電和英特爾兩大半導體客戶的需求。業界則是預估,半導體廠要大量採購並使用高數值孔徑EUV設備,預計也要到2030年之後。
     



    ASML 下一代高數值孔徑極NA設備是EXE:5200系統,可用來生產 2 奈米製程晶片。EXE:5200 具有更高解析度,可將晶片縮小 1.7 分之一,同時密度增加至 2.9 倍。

    相關新聞:
    台積電新一輪董事候選人名單,美國商務部副主席入列
    當科技戰火燒到英特爾,中國將徹底與西方脫鉤?
  • View More intel 1.JPG
    半導體

    當科技戰火燒到英特爾,中國將徹底與西方脫鉤?

    在中國,有三家深具代表性的美國科技公司:英特爾、微軟、蘋果。在過去門戶大開的20年中,長驅直入中國市場。當美國與中國從貿易戰打到科技戰,現在已經演變成中國與西方世界的對立與對抗。這把科技戰火,最終是要燒到這三家美商科技巨頭。
     



    2023年,微軟在中國市場做出兩個動作,一是正式關閉領英(LinkedIn)中文版APP,退出耕耘近10年的中國市場,也代表最後一個退出中國市場的西方社群媒體。二是協助位於北京的微軟亞洲研究院 (MSRA) 裡的頂尖AI專家撤出中國。
     



    2023年9月,傳出中國禁止政府相關部門使用蘋果iPhone手機,鼓勵使用國產手機,惟該消息隨後被中國官方否認。由於蘋果一直是股神巴菲特最大重倉的科技股,2023年第四季,巴菲特罕見減持蘋果的股份,雖然減持比例很小,但這確實是一個訊號。
     



    2024年3月底,傳出中美對抗再升級,這次的祭旗對象是在中國地位始終屹立不搖的英特爾。傳出中國官方下令將逐漸限制在伺服器和PC中採購英特爾、AMD的晶片,轉為採購國產晶片。同時,政府採購指南也將排除微軟的Windows作業系統和海外製造的數據庫軟體,全數轉為國產化。
     



    對蘋果、英特爾、AMD而言,中國市場的營收占比不小,分別約不到20%、27%、15%。無論從CPU地位來看,或是營收占比,英特爾與中國都算是深度綁定,因此衝擊也最大。
     



    這一年來中國密集朝蘋果和英特爾開刀,也被視為是中國與西方世界“切割”的象徵。尤其是拿英特爾祭旗,更被形容為“翅膀硬了”、“要徹底與西方決裂”。
     



    英特爾在1985年正式進入中國市場,在北京設立第一個代表處。 主要是因為1979年,中國通過了《中外合資經營企業法》,這是第一個為跨國企業進入中國市場提供的法律依據。 那一年,英特爾剛推出8088微處理器,更是首次進入《財星》「世界500強」排行榜。
     



    花了幾年時間摸清中國市場,英特爾開始認真投資是1994年,當時英特爾CEO安迪·格魯夫首次到中國參觀,並且在上海成立研發中心。 2007年,英特爾更將中國區劃為全球行銷網路中的獨立區域,給予它在機構、行銷和人事等方面的決策權,同一年英特爾大連廠開始投產。
     



    經歷30年,英特爾與中國的緊密關係,原以為是剪也剪不斷,但隨著中美關係的降溫和科技戰的升溫,2024年可能是個重大分水嶺。
     



    未來,PC和伺服器中不用英特爾、AMD的CPU,那要用哪來的晶片? 改用國產的海光、飛騰、華為、龍芯、兆芯,當中有x86架構、Arm-base,也有自行開發的架構,作業系統是開源碼Linux軟體。此時不講求晶片好用,只要堪用即可。
     



    不用蘋果iPhone手機,替代方案是愛國心第一選擇華為,不然還有OPPO、小米等。換到安卓系統的適應是習慣問題。
     



    中國政府部門減少外商技術的採購行動,並非突然發生。 早在2022年9月,國資委發布79號文《關於開展對標世界一流企業價值創造行動的通知》,部署了國企、央企信創國產化的具體要求和推進時間表,規定國企、央企須在2027年完成信創全替代,替換範圍涵蓋晶片、基礎軟體、作業系統等,涵蓋政府、金融、航空航太、電信、交通、校閱、醫院、石油、電力等領域。
     



    2023年底,中國官方進一步定調科技國產化,針對PC、筆記型電腦和伺服器發布更嚴格政府採購準則,首份「安全且可信」處理器與作業系統的清單,幾乎全是中國企業供應商。也象徵逐漸降低採購英特爾、AMD的CPU晶片和微軟的作業系統,是未來官方軟硬體採購的必然方向。
     



    是的,中國政府和國企等放棄英特爾、AMD等西方國家的晶片,轉為國產替代的期限是:2027年。
     




    美國四大招全面封鎖
     



    美國自從2018年陸續制裁中興、華為以來,演變成對中國全面性的封鎖,當中有三大舉措影響最深遠(由近至遠):
     



    第一,針對中國AI算力封鎖,以防中國擴張AI算力能力應用在國防、軍事系統上。從最早在2022年,第一槍限制Nvidia的高階GPU供應,一直演變到2023年直接針對AI算力晶片的限制,間接阻斷台積電為中國國產GPU晶片代工的這一條路。
     



    第二,美國《晶片法案》規定,獲得美國補助的企業在中國等國家擴展半導體生產和研發將會有嚴格的限制,間接阻斷了英特爾、台積電、三星等在中國製程技術與晶圓廠擴產的進展。
     



    第三,2022年限制美國籍公民從事中國半導體製造相關工作,影響所及涵蓋長江存儲、合肥長鑫、中微半導體等。由於中國科技產業幾乎是海歸派和延攬海外人才所建立而成,此限制直接從人才下手,影響十分鉅大。
     



    第四,對ASML光刻機的限制,從極紫外光EUV擴大到成熟製程使用的DUV系統,也等同直接擴張長臂管轄的範圍。日前更傳出美國要求荷蘭阻止ASML對中國半導體廠進行維修服務。
     



    美國與中國的科技領域全面對抗,發展到英特爾這個層級,算是來到另一個高峰。

    過去我們對科技世界的認知是,CPU為科技產品的核心,無論如何英特爾的地位是很難被替代的。不過,自從生成式AI強勢席捲全球,科技世界運轉的主導者來到Nvidia身上,既然GPU都可以被禁,火燒到CPU身上也不用太大驚小怪。
     



    就台灣產業而言,英特爾、AMD恐被中國排除在外,產業鏈估計影響有限,因為去中化已經行之有年,無論對晶圓代工、IC設計、AI供應鏈等,影響均不大。
     



    對中國而言,看來科技產業真的走到一個系統、兩個世界的分岔路上,未來科技產業系統將分為中國標準和非中標準,無論大家如何爭議中美之間的真假脫鉤,在科技系統上,雙方脫鉤成兩個標準已經是在路上。
  • View More tsmc2.jpeg
    半導體

    台積電新一輪董事候選人名單,美國商務部副主席入列

    台積電將在6月4日股東常會中舉行董事改選,今日宣布十位董事被提名人,包括三位現任董事:魏哲家、曾繁城、龔明鑫,四位現任獨立董事:彼得邦菲、麥克史賓林特、 摩西蓋弗瑞洛夫、拉斐爾萊夫,以及新增的三位獨立董事候選人為:烏蘇拉伯恩斯、琳恩埃爾森漢斯、林全。
     



    除了董事長劉德音一如先前公司宣布將卸任之外,擔任台積電董事多年的陳國慈,以及台達電董事長海英俊都將在這次改選中卸任台積電董事。


    (照片來源:哈佛商業評論)



    這次台積電增加的三位獨立董事候選人身分非常特別,其中烏蘇拉伯恩斯(Ursula M. Burns) 是美國商務部供應鏈競爭力諮詢委員會副主席,也是美國商界最有權力的黑人女性。 黑人、女性,符合美國式的政治正確。未來對美關係的強化,是台積電的重中之重。
     



    烏蘇拉伯恩斯曾擔任全錄公司(Xerox)執行長,是第一位領導財富(Fortune)全球500大企業的非裔女性。根據公開報導,烏蘇拉伯恩斯出生於紐約下東區的貧民窟,從小就由母親撫養長大,家中有三個小孩,她是老二。 她從小在數學上極具天賦,高中畢業後進入紐約理工學院獲得機械工程學士。

     

    大學快畢業時,伯恩斯就獲得全錄的工作機會,公司看重她的潛力甚至願意資助到哥倫比亞大學繼續攻讀碩士學位,條件是畢業後為全錄工作。 她在1981年拿到碩士學位後,在全錄開始了她的職業生涯。 2009年~2016年期間擔任全錄執行長。
     



    離開全錄後,柏恩斯擔任跨國電信公司Veon執行長,且持續擔任多家大型公司的董事。 她也曾加入美國前總統歐巴馬促進理工科教育的STEM國家項目,鼓勵年輕女性和少數族裔從事數學和科學工作。
     



    另一位董事提名人是琳恩‧艾森漢斯,是沙烏地阿拉伯石油公司獨立非執行董事及審計委員會主席。
     



    第三位是林全,曾擔任台積電轉投資世界先進的董事長,現任東洋董事長、東生華製藥董事長、及碩獨董及總統府資政。
     

    對於這次董事會提名,劉德音表示,台積電董事會是由來自產業界、學術界、法律界的多元背景專業人士組成,這些具有世界一流業務營運經驗的專業人士分別來自台灣、歐洲和美國。 身為主席,我非常幸運參與如此健全的董事會並獲得大家的支持,確保台積公司朝著正確的 道路前進。 我衷心感謝所有董事們的付出與貢獻,也相信台積公司在新一屆董事會的引領之 下將持續迎向光明的未來。 
     



    台積電總裁魏哲家博士表示,過去多年來,董事就其專業與豐富的經驗,提出建言支持公 司的成長策略,不斷強化公司治理。 台積電近年來經歷了前所未有的挑戰,隨著持續變化的產業樣貌及地緣政治,我們依然引領技術的開發與進步,推出最先進的技術,強化我們的競爭力,讓我們的創新與永續發展 處於領先的地位。 劉董事長將從董事會卸任,我們深表祝福,感謝劉董事長傑出的領導能力,支持台積公司克服挑戰並成長茁壯。
  • View More intel Gaudi.JPG
    人工智能和物聯網

    突襲Nvidia! 英特爾推出AI晶片Gaudi 3宣稱“部分性能優於H200”

    英特爾Intel Vision 2024大會於4月8日-9日在美國亞利桑那州鳳凰城登場,會中宣布推出Gaudi 3 AI加速器,由台積電5nm製程代工,挑戰Nvidia在AI領域高市佔率,企業生成式 AI帶來新選擇。
     



    英特爾的Gaudi 3與Nvidia的H100相比,支援AI模型執行推理快了50%,訓練大模型則比H100快了40%。 英特爾更指出,Gaudi 3的表現將與Nvidia的H200比肩,在某些領域的表現甚至會優於H200。
     



    再者,英特爾Gaudi 3在Llama上做測試,可有效地訓練或部署AI大模型,包括文生圖的Stable Diffusion和語音辨識的Whisper等。
     



    英特爾Gaudi 3加速器將於2024年第二季,提供OEM通用基板和開放加速器模型(Open accelerator module, OAM),2024第三季全面上市,包括戴爾科技、慧與科技(HPE)、聯想和美 超微等,都將採用Gaudi 3。


     



    Gaudi 3加速器的主要特點:
     




    AI專用運算引擎:Intel Gaudi 3加速器專為生成式AI運算打造。 每台加速器都有專屬的異質運算引擎,由64個AI自訂和可編程TPC和8個MME組成。 每個Intel Gaudi 3 MME皆能執行64,000個平行運算,運算效率極高,擅於處理複雜的矩陣運算,這也是深度學習演算法的基礎運算。 此獨特的設計大幅提昇平行AI運算的速度和效率,並支援多種資料類型,包括FP8和BF16。

     


    提升內存容量,滿足LLM容量需求:Intel Gaudi 3搭載128 GB的HBMe2內存容量、3.7 TB的內存帶寬和96 MB的on-board靜態隨機存取內存(SRAM),能夠在更少 在的Intel Gaudi 3上,提供處理大型生成式AI資料集所需的足夠內存,且特別適用於大型語言和多模態模型。

     


    為企業提供生成式AI高效系統擴充:每個Intel Gaudi 3加速器皆整合24個200 GB的以太網端口,提供靈活的開放標準網絡,實現高效擴充,以支援大型運算集,並克服 專有網路架構的供應商限制。 Intel Gaudi 3加速器實現單一節點到上千節點的高效擴充,以滿足生成式AI模型的廣泛要求。

     


    開放產業軟件提升開發人員生產力:Intel Gaudi軟件整合PyTorch框架,並提供基於Hugging Face社群的優化模型,是目前生成式AI開發人員最常用的AI框架,讓生成式AI開發人員能夠在高度 在抽象層上進行操作,提升易用性和生產力,並可輕鬆地將模型轉移到不同硬件類型上。

     


    Gaudi 3 PCIe:Gaudi 3高速PCIe附加卡是全新產品,外型規格專為實現高效率並降低功耗設計,適用於微調、推理和檢索增強生成(RAG)等工作,配備功率600瓦的標準 (Full-height )封裝,128GB的記憶體容量,且帶寬達到每秒3.7TB。
  • View More AI1.JPG
    半導體

    字節跳動自研7nm製程AI晶片,博通提供設計服務

    年初,博通CEO陳福陽在分析師電話會議上指出,2024財年度AI需求在半導體營收的占比將從先前預測的25%,提升至35%。在Morgan Stanley最新報告中指出,字節跳動的7nm製程自製AI ASIC晶片,由博通提供設計服務。
     



    大摩也提到,日本最大的ASIC設計服務公司索思未來(Socionext)有機會贏得雲端服務商3nm製程Arm-based CPU設計案子,Socionext將成為世芯和創意在雲端服務供應商ASIC晶片上的競爭者。








    隨著美國禁令對中國的算力晶片的管制趨於嚴格,即使是Nvidia的特供版晶片,因為調降後的算力大幅降低,對中國客戶的吸引力也因此大幅減少。
     



    中國雲服務供應商包括華為、百度、阿里巴巴、字節跳動、騰訊都強化投入AI自研晶片,降低對於Nvidia、AMD等GPU的依賴。
     



    目前中國AI自研晶片主要分兩派,一派如阿里巴巴的平頭哥、百度崑崙芯等,自研力道和規模較大,另一派是騰訊和字節跳動,偏向小規模,但在自研晶片之路上,騰訊和字節跳動普遍被業界認為是小打小鬧。
     



    日前,阿里巴巴董事局主席蔡崇信表示,因為美國限制Nvidia對中國提供高階晶片,中國在AI發展上可能會較美國OpenAI落後兩年,且受到美國晶片禁令限制趨嚴,確實在短期和中期上影響了阿里的雲業務。他進一步指出,目前中國雲端業務確實面臨晶片短缺的問題,目前晶片庫存在12~18個月內尚足夠支應LLM的訓練。