全部新聞分類

  • View More SMI.JPG
    半導體

    慧榮苟嘉章:AI帶動伺服器強大需求,NAND Flash價格下半年續漲

    要說AI拯救全世界是一點也不為過!2022年底OpenAI刮起的炫風,到2024年都還是熱騰騰的巨大商機。NAND Flash控制晶片大廠慧榮SMI總經理苟嘉章指出,Nvidia囊括AI領域90%市占,其霸主地位在未來3~5年內都很難被撼動,且未來AI算力從雲端會逐漸下放到邊緣端,對存儲產業而言會是史上難得一見機會!
     



    即將登場的COMPUTEX 2024也是宛如全球科技界的AI盛會,不但Nvidia、AMD、英特爾、高通、Arm等科技巨頭齊聚一堂,除了AI帶動伺服器和資料中心繼續火熱,更有AI PC、生成式AI手機題材要開始發酵,AI幾乎拯救了全世界的科技產業!
     



    苟嘉章也認為,受惠資料中心對於NAND Flash的需求旺,雖然現貨通路端買氣不佳,但資料中心的需求非常強勁,需要的存儲容量從原本4~8TB增加到32TB,估計NAND價格漲到2024年下半年沒問題,一直到2025年上半年目前都沒看到敗象。
     



    從供給端來看,苟嘉章也分析,NAND Flash原廠2024年才剛剛轉虧為盈,開始賺錢而已,恢復正常生產會循序漸進,且不會躁進馬上擴建新晶圓廠,大家有志一同以利潤為優先,先把虧的錢賺回來再說。
     



    SK海力士第一季毛利率39%,美光20%,據了解,至少要等這些NAND Flash原廠的毛利率連續數季站穩40%以上,才會考慮增加新產能,至少今年都會是NAND Flash存儲產業的甜蜜年。
     



    存儲產業在供給端的另一個觀察是各大廠的軍備競賽都集中在DRAM HBM記憶體。最近才傳出三星的HBM3過熱,沒通過Nvidia測試,三星要取代SK海力士成為HBM龍頭的夢想,只能再等等,日前三星才宣布半導體負責人換帥,就是因為AI進度落後之故。
     



    業界透露,其實SK海力士現在的HBM技術團隊,其實當初是從三星過去的,三星現在應該是悔不當初。事實上,三星這幾年在Foundrr和Memory兩大關鍵版圖上都狂掉隊很明顯,這與接班人李在鎔2017年入獄有很大關係。這麼大的財閥企業群龍無首,估計各個事業部的負責人也不敢拍板做大決定,等到2021年李在鎔特赦出來,世界早已經出現翻天覆地的變化,現在三星要奮力追趕,自然需花上更多的功夫。
     



    苟嘉章的觀點是,三星在記憶體領域長期奠定很深遠的記憶實力,未來在HBM發展上仍是很有機會,且現在最著急的人應該是Nvidia,因為如果HBM主要供應都掌握在一家手裡,Nvidia的AI產品在產能和價格上會一直無法取得更高主導權,因此Nvidia一定會協助三星HBM技術盡快有突破。
     



    另外,他也表示QLC NAND也很適合用在資料中心,慧榮會和NAND Flash大廠、模組廠、服務器廠商一起推動AI發展。另外,生成式AI手機會是一大機,平價手機也需要AI功能,未來各項應用對於存儲需要的容量會呈現爆炸性成長。

    相關新聞:

    台積電延續兆元市值的秘密,都藏在這一張圖裡

    AI PC大戰開打,高通拿下首局勝利

    盧超群:DRAM回暖旺到2025年,報價重回疫情前水平

    群聯:不跟進中國模組廠低價拋售NAND Flash,下半年AI PC生意樂觀
     
  • View More 張曉強.JPEG
    半導體

    台積電延續兆元市值的秘密,都藏在這一張圖裡

    今天台積電技術論壇上,2024年3月升任共同營運長,現任台積電業務開發、海外營運辦公室資深副總暨副共同營運長的張曉強現場金句連發:
     




    台積電做系統整合超過20年,領先推出CoWoS技術,我相信在座各位都可以拼出C-o-W-o-S-.......有天我發現連電視台主播都會拼這個詞,你要是沒聽過CoWoS,大概是外星人了!

     


    過陣子也不用我和Cliff上台來演講了,create一個AI來講就好!

     


    (現場張曉強show出一張PPT標誌著Nvidia近代GPU產品採用台積電製程技術的性能成長曲線直線向上)他說:AI發展快速,Nvidia產品從V100採用N12、A100採用N7、H100採用N4,一直最新一代Blackwell採用N4P製程+CoWoS封裝讓算力成長1000倍,這迅猛的長曲線讓人想到了昨晚的Nvidia股價.......

     


    台積電今日技術論壇中,現場含金量最高的一張圖應該是3D Integrated HPC Technology platform for AI。張曉強說這張圖是“Money Sheet”(既然價值連城,就不在此大放送!其實是因為現場是禁止攝影)從現場的圖上看,是一款用于HPC和AI的新封裝平台,並以矽光子來改善互聯。他表示矽光子技術已經量產,只是這是第一次引入HPC中,用在Data Center。

     


    如果有人要寫台積電歷史,一定要提到7nm,這是台積電第一次提供全世界最先進的技術,在此之前都是IDM。之後台積電在2020年更領先進入5nm製程,2023年進入3nm製程。
     




    以下是今日舉行台灣場的技術論壇幾個重點:
     




    AI將掀起第四次工業革命,2030年全世界將有10萬個生成式AI機器人,生成式AI手機出貨量將達2.4億支。

     


    為了滿足AI運算需求,3D堆疊、先進封裝技術越來越重要,未來幾年將實現單晶片上整合超過2,000億個電晶體並透過3D封裝達到超過一兆個電晶體。

     


    2024年3nm產能比2023年增加三倍,但還是不夠用!!

     


    2020~2024年,先進製程產能的年複合成長25%,特殊製程產能的複合成長率10%。車用晶片出貨複合成長率約50%。

     


    SOIC在2022~2026年的產能複合成長100%,CoWoS在2022~2026年的產能複合成長超過60%

     


    台積電從2019年正式使用EUV設備,目前全球56%的EUV機台都在台積電。

     


    N3E已依計畫在2023年第四季進入量產,客戶的產品良率相當好。台積電也開發出N3P技術,已通過驗證,目前良率表現接近於N3E。N3P已經收到了客戶產品設計定案tape-outs,將於 2024 年下半年開始量產。

     


    2nm是台積電第一次使用奈米片Nano-Sheet電晶體架構,目前進展非常順利,NanoSheet奈米片的轉換目標達90%,換成良率也超過80%,根據計畫2nm是2025年下半年量產。

     


    針對製程後段,會導入新製程與材料,將電阻/電容延遲(RC delay)降低高達10%。此外,為了強化功率傳輸,台積電也提供了超高性能金屬/絕緣體/金屬電容(SHPMIM),其容量密度是上一代技術的兩倍之多。

     


    台積電進入埃米(angstrom)時代的A16,結合2nm製程+超級電軌(Super Power Rail)架構設計。




     






    A16 技術的超級電軌(Super Power Rail)架構是一種創新的最佳晶圓背面供電網路解決方案。A16 將供電網路移到晶圓背面而在晶圓正面釋出更多訊號網路的佈局空間,藉以提升邏輯密度和效能。此外,它還可以改善功率傳輸,並大幅減少IR 壓降。

     


    再者,台積電的創新晶圓背面傳輸方案也是業界首創,保留了柵極密度與元件寬度的彈性,是具有複雜訊號佈線及密集供電網路的HPC產品的最佳解決方案。相較於台積公司的 N2P 製程,A16 在相同 Vdd (工作電壓)下,速度增快8~10%; 在相同速度下,功耗降低15~20%,晶片密度提升高達 1.10X。台積電計畫在 2026 年下半年量產。

     


    NanoSheet奈米片電晶體的下一代會是互補式場效電晶體CFET架構,藉由不同材料的上下堆疊,讓垂直堆疊的不同場效電晶體更靠近,改善電流且密度增加1.5~2倍。台積電強調CFET不是紙上談兵,研發已經成功驗證在wafer siliocon上。





    台積電指出,當電晶體架構從平面式(planer)發展到 FinFET,並即將轉變至奈米片(nanosheet)架構之後,公司認為垂直堆疊的 nFET 和 pFET (即互補式場效電晶體CFET)是未來製程架構選項之一。

     


    台積電進一步指出,內部一直在積極研究將 CFET 用於未來製程架構的可能性。在考量佈線和製程複雜性後,CFET 密度將可提升 1.5 至 2X,除了 CFET,在低維材料方面取得了突破,也可實現進一步的尺寸和能源微縮。再者,台積電也計畫導入新的互連技術,以提升互連效能。首先,對於銅互連技術,計畫導入一個全新的通路結構(via scheme),進而將業界領先的通路電阻(via resistance)再降低 25%。再者,計畫採用一種全新的通路蝕刻停止層(via etch-stop-layer),可降低約6%的耦合電容。還有,正在研發一種新的銅阻障方案(Cu barrier),可降低約 15%的銅線電阻。除銅互連外,台積電也在研發一種含有氣隙的新型金屬材料,可降低約 25%的耦合電容。另外,嵌入石墨烯(Intercalated graphene)也是一種極具前景的新材料,可大幅縮短互連延遲。
     




    TSMC 3DFabricTM技術方面,包含三大平台:TSMC-SoIC、CoWoS和InFO。
     


    SoIC 平台:用於 3D 矽晶片堆疊,並提供 SoIC-P 和 SoIC-X 兩種堆疊方案。SoIC-P是一種微凸塊堆疊解決方案,適用於講求成本效益的應用如行動裝置。CoWoS 平台包括成熟度最高、採用矽中介層的 CoWoS-S,以及採用有機中介層的CoWoS-L 和 CoWoS-R。InFO PoP 和 InFO-3D 適用於高階行動式應用,InFO 2.5D 則適用於高效能運算的小晶片整合。另外,根據產品需求,SoIC 晶片可與 CoWoS 或 InFO 整合。
     





    適用於 3D 小晶片堆疊技術的 SoIC:SoIC-X 無凸塊堆疊解決方案,無論是現有的 9 微米鍵合間距前到後堆疊方案(front-to-back scheme),還是將於2027 年上市的 3 微米鍵合間距前到前堆疊方案(front-to-front scheme),裸晶到裸晶(die-to-die)互連密度均比 40 微米到 18 微米間距的微凸塊前到前堆疊方案高出 10X 以上。台積電的SoIC-X 技術非常適用於對效能要求極高的各類HPC應用。
     





    台積電更指出,看到客戶對於 SoIC-X 技術的需求逐漸增加,預計到 2026 年底將會有 30 個客戶設計定案tape-outs。

     


    CoWoS 技術:可將先進的 SoC 或 SoIC 晶片與先進的高頻寬記憶體HBM進行整合,滿足AI 晶片的嚴苛要求。台積電的SoIC 已透過 CoWoS-S 量產出貨,並計畫開發一種 8 倍光罩尺寸且具備採用A16 製程技術的 SoIC 晶片和 12 個HBM堆疊的 CoWoS 解決方案,計將在 2027 年開始量產。直至今年年底,台積公司將為超過 25 個客戶啟動超過 150 個 CoWoS 客戶產品設計定案tape-outs。

     


    台積電與Nvidia合作推出Blackwell AI 加速器,是全球首款量產並將 2 個採用 5 奈米製程技術的 SoC 和 8 個HBM堆疊整合在一個模組中的 CoWoS-L 產品。




     






    矽光子:台積電表示矽光子是共同封裝光學元件CPO的最佳選擇,因為其與半導體相容,且可與 EIC/PIC/交換器在封裝層高度整合。台積電創新的緊湊型通用光子引擎(COUPETM)技術透過最短路徑的同質銅-銅介面整合電子積體電路(PIC)和光子積體電路(EIC),進而實現超高速射頻(RF)訊號(200G/λ)。

     


    COUPE 解決方案可最小化使用面積,且具備光柵耦合器(GC)和邊際耦合器(EC),可滿足客戶的各式需求。台積電計畫在 2025 年完成小型插拔式連接器的 COUPE 驗證,2026 年將其整合於共同封裝光學元件的 CoWoS 封裝基板,藉此可降低 2X 功耗、將延遲降低10X。同時,台積電也探索一種更先進的共同封裝光學元件方案,將 COUPE 整合於 CoWoS中介層,進而將功耗再降低 5X、將延遲再降低 2X。


    相關新聞:

    50%關稅加上去,恐讓中國成熟製程產能過剩更嚴重,「國產化」速度勢必提前

    AI PC大戰開打,高通拿下首局勝利
  • View More asml魏哲家.PNG
    半導體

    台積電魏哲家親赴歐洲拜訪ASML、德國蔡司,完成「摩爾定律續命」之旅

    台積電總裁魏哲家上周進行了一趟非常重要的“歐洲行”,拜訪了三家與延續摩爾定律息息相關的歐洲半導體企業,分別是荷商ASML、德商蔡司Zeiss和德商創浦TRUMPF。
     



    ASML執行長Christophe Fouquet在Linkedin上表示,上週在企業總部Veldhoven接待了魏哲家,且藉由此寶貴機會強化ASML和台積電的緊密合作關係。並且展示了ASML最新產品和創新技術,透過改善EUV曝光機平台,持續推動半導體技術往前。
     



    Christophe Fouquet進一步指出,在ASML生產最新0.33 NA EUV系統的EUV工廠中,與魏哲家分享了 TWINSCAN NXE:3800E曝光機如何以更具成本效益的方式來生產最先進的製程技術。此外,在比利時微電子imec ASML EUV High NA 實驗室中,也展示了高數值孔徑極紫外光微影設備(High NA EUV)如何支援多個未來的製程技術節點。
     



    ASML特別強調要和生態系統合作夥伴德國蔡司Zeiss、雷射源供應商創浦TRUMPF、荷蘭VDL集團強化聯盟力量,以達成延續摩爾定律的目標。
     



    Christophe Fouquet更強調,ASML與客戶的關係是由對創新和技術進步的共同承諾所推動的。ASML與台積電的合作關係體現了這一宗旨:近四十年來,它建立在信任、協作和對卓越的承諾的基礎上。
     



    Christophe Fouquet剛於4月接下ASML執行長一職,但這並非是與魏哲家的首次會面。Christophe Fouquet當時以ASML商務長的身份,與ASML前執行長Peter Wennink年初曾進行亞洲巡訪客戶,拜訪台積電總部自然是當時重要行程之一。
     



    眾所皆知,針對ASML最新一代、一台要價4億美元的High NA EUV曝光機設備,英特爾的採用意願非常積極且下了訂單,台積電維持過往的保守風格,非常謹慎評估,不會輕易在轉進新一代製程技術的第一版,就導入最先進的設備。
     



    另外,從ASML日前端出的2024年第一季財報可知,中國營收貢獻仍是該公司支柱,但隨著美國的出口管制越來越嚴格和逐季落實,ASML勢必要找尋更多的營收來源。業界認為,說服台積電早日導入High NA EUV曝光機設備,並且下訂單,會是兩家公司下一步的關鍵發展,且是雙方高層會面的重點,包括這次魏哲家親訪ASML總部。







    德國蔡司Zeiss半導體也在Linkedin上提到了魏哲家拜訪總部Oberkochen。擁有獨特歷史的蔡司,總部位於德國西南部巴登-符騰堡州(Baden-Württemberg)的Oberkochen鎮,該小鎮的人口僅8000人,卻掌握著全球最精密、最先進的半導體晶片設備的反射鏡和透視鏡。
     



    蔡司是ASML非常重要的技術合作夥伴,是ASML唯一的鏡頭供應商。蔡司不但擁有獨特的微影和光罩系統技術,手上更有超過2,000個與EUV微影設備相關的關鍵技術專利。2016年ASML曾收購蔡司子公司蔡司半導體24.9%股權,為的就是發展High NA EUV設備。



    ASML前執行長Peter Wennink曾說過,沒有蔡司的光學器件,ASML將無法生產極紫外光EUV曝光機設備,而沒有EUV曝光機,也無法生產人工智慧AI、自動駕駛這些尖端技術的晶片。
     



    蔡司在ASML開發先進製程技術曝光機的重要性上,可從另一件事來看出端倪。早在今年4月,三星電子會長李在鎔就搶先魏哲家一步,先去德國總部拜訪蔡司,雙方共表示要加強晶片製造的合作,提高晶片良率和效能。這次魏哲家拜訪蔡司德國總部,應該也是有「固樁」的意思。
  • View More ai pc 2.PNG
    半導體

    AI PC大戰開打,高通拿下首局勝利

    隨著Copilot+首次登場,AI PC大戰宣布開打!微軟宣布推出搭載高通Snapdragon X Elite和Snapdragon X Plus的 PC,徹底改變 PC的使用體驗。除了微軟自家Surface PC之外,更宣布宏碁、華碩、Dell、HP、聯想、三星等所有AI PC全都用高通的解決方案!
     



    微軟將「Copilot+ PC」定義為帶有NPU的Windows PC,除了有CPU、GPU外,還要結合強大性能NPU,建構出全新AI系統的架構,且電池續航力更強,單次充電可支援長達22小時影片播放。微軟表示「Copilot+ PC」效能比蘋果搭載M3晶片的15吋MacBook Air,還要高出58%。
     



    值得注意的是,微軟首批「Copilot+ PC」全都是搭載高通Snapdragon X Elite和X Plus處理器,包括微軟自家Surface PC,還有宏碁、華碩、Dell、HP、聯想、三星等合作推出AI PC。除了高通的解決方案,之後也會有搭載英特爾和超微處理器的機型問世。
     



    在這波PC熱潮中,高通找到著力點,正在重塑Windows PC生態系的效能領先地位,搭載於Snapdragon X Elite的領先NPU能為筆記型電腦提供最高的每瓦NPU效能,比M3高出2.6倍,比Core Ultra 7高出5.4倍。
     



    此款NPU整合高通Hexagon NPU架構,可以在超解析度(Super Resolution)等使用案例中提供高達每瓦24 TOPS的峰值效能。藉由高通Oryon CPU,Snapdragon X Elite在每瓦效能取得領先,PC CPU達到相同峰值效能時功耗較競品低60%。







    高通也宣布全球OEM合作夥伴推出了首批獨家搭載今日發表的搭載Snapdragon X Elite和X Plus的Copilot+ PC包括:
     



    宏碁:推出Swift 14 AI。Swift 14 AI 結合強大的Snapdragon X系列平台、Windows 11中的Copilot+功能以及Acer  PurifiedView 2.0 和Acer PurifiedVoice 2.0等解決方案,運用AI功能無縫提升生產力和創造力。此款產品提供配備2.5K觸控螢幕顯示器的選項,可實現沉浸式視覺效果,以獨家的Copilot+ PC設計脫穎而出,在正面配置獨特AI商標以及在觸控板上採用活動指示器(Activity Indicator)。
     



    華碩:將Snapdragon X Elite和X Plus整合到ASUS Vivobook S 15中,象徵個人運算的典範轉移。透過整合45 NPU TOPS和無可比擬的45W TDP,支援ASUS IceCool散熱技術,使用者將享受迅速的裝置上AI處理能力。ASUS Vivobook S 15配備15.6吋3K 120 Hz OLED顯示器。以上功能皆整合至輕薄的外形中,並提供整套的I/O埠,是多功能的隨身夥伴。
     



    戴爾:推出五款搭載Snapdragon X Elite和Snapdragon X Plus的全新筆記型電腦,為消費者和商用提供全面的產品組合,包括XPS 13、Inspiron 14 Plus、Inspiron 14、Latitude 7455和Latitude 5455,均具備卓越的速度和AI效能,以及突破性的電池續航力,提升運算能力並簡化任務。新裝置也採用NGAI,實現變革的AI PC體驗。
     



    惠普:新一代AI PC是專為Snapdragon X Elite平台,以及其專用的神經處理單元(NPU)而設計,每秒能夠執行45兆次運作(TOPS),可在裝置上運行語言模型和生成式AI。HP OmniBook X AI PC和HP EliteBook Ultra AI PC採用最強大的AI PC技術,電池續航力長達26小時,可在裝置上快速充電和進行AI功能最佳化,提高生產力。HP EliteBook Ultra也為商用消費者提供附加功能,包括Wolf Pro Security的 新一代防毒軟體(NGAV),透過硬體安全功能保護PC直達韌體等級,全面防護使用者憑證和其他關鍵資訊,獲得微軟安全核心PC指定(一種晶片到雲端的安全技術,提供安全身份、安全驗證和加密服務)和三年保固。
     



    聯想:推出聯想Yoga Slim 7x與聯想ThinkPad T14s Gen 6,為首款搭載Snapdragon X Elite的AI PC。這些筆記型電腦提供頂級每瓦PC效能和基於NPU的快速 AI 處理能力,每秒最高達45 兆次運作(TOPS)。Windows 11和Copilot+的強化功能支援離線存取LLM功能,提升創造力、生產力和安全性。
     



    微軟Surface:全新Surface筆記型電腦是迄今為止最快且最智慧的Surface筆記型電腦,現在採用超長的電池續航力和由Snapdragon X Elite和Snapdragon X Plus平台支援的全新AI體驗,尺寸包括13.8吋和15吋顯示器尺寸。
     



    三星:三星 Galaxy Book4 Edge配備頂尖的混合式AI整合功能,並搭載最快速且最強大的Snapdragon X Elite,使筆記型電腦實現45 TOPS NPU的運算能力。此裝置以納入連結最為緊密的Galaxy AI生態系。透過提供14吋和 16吋的Dynamic AMOLED 2X顯示器的選項,Galaxy Book4 Edge釋放全新等級的創造力和生產力,同時以直觀的功能和簡單的語言提示打破溝通障礙。這款裝置也將深受喜愛的Galaxy AI功能,像是Google的搜尋圈、即時翻譯和聊天助理導入更大尺寸的PC顯示器上。

    相關新聞:

    生成式AI的時代弄潮兒,高通如何成為接棒者?

    台積電:除了AI,沒一個能打的!!

    台積電揭示2nm以下最新A16製程,結合超級電軌與奈米片電晶體架構

    歡迎轉載文章,請註明出處:SEMICONVoice  
  • View More KC.jpeg
    半導體

    GlobalFoundries傳出要找中國策略聯盟夥伴,突破中芯國際與華虹的包圍

    GlobalFoundries宣布業界擁有豐沛人脈的洪啟財(KC Ang) 為亞洲區總裁兼中國區主席。外界解讀為,GlobalFoundries不但是想藉由洪啟財在半導體產業超過30年的經歷,重振亞洲市場業務,且據了解也有意在中國推出屬於GlobalFoundries特色的「中國在地化」策略!
     



    洪啟財畢業於台灣大學機械工程學士學位,並擁有德州大學工程碩士學位,最早是在新加坡特許半導體Chartered,2010年加入GlobalFoundries,負責全球生產基地的管理,尤其是新加坡的生產基地,後來曾擔任GlobalFoundries台灣區董事長。
     



    洪啟財也是新加坡晶片產業發展的關鍵人物,也是新加坡國立研究基金會(National Research Foundation in Singapore)董事會成員,並兼任國際半導體產業協會(SEMI )東南亞區域諮詢委員會主席。
     



    GlobalFoundries的新人事命令安排被外界認為是要重用洪啟財30多年來在半導體產業累積的人命關係,重新整理亞洲區業務,且GlobalFoundries也規劃提出擁有GlobalFoundries特色,融合中美風格的「中國在地化」業務策略!
     



    GlobalFoundries特色的「中國在地化」業務策略卡在一點,就是GlobalFoundries本身在中國沒有晶圓廠,當初的成都12吋基地賣給華紅了。在沒有晶圓廠的前提下,如何做「中國在地化」呢? 或許,找中國其他夥伴,有晶圓廠的夥伴進行策略聯盟,是一條可行之道!大家拭目以待,GlobalFoundries如何在中國半導體市場,在中芯國際和華虹的前後夾擊下,走出一條創意之路!
     



    GlobalFoundries在中國區業務這幾年面臨一些挑戰,主要是地緣政治的因素,使得中國許多客戶都轉投本地晶圓代工廠,GlobalFoundries在中國面臨中芯國際和華虹半導體的兩邊壓力,這也是亞洲區新人事安排要突圍之處。
     



    2023年底,GlobalFoundries停擺多年的成都12吋生產基地,也正式由華虹集團接手,成為「成都華虹」,預計將建成一座月產能達3萬片的12吋晶圓廠。
     



    根據研調機構Counterpoint針對2023 年第四季全球晶圓代工市佔率統計,台積電以61%穩居龍頭地位,三星以14%市佔率位居第二,聯電與GlobalFoundries以市佔率6 %並列第三大,中芯國際的佔有率約5%。
     



    從以上市佔率排名可看出,台積電和三星AI商機加持,以及先進工藝的領先優勢,保持大幅度領先,而GlobalFoundries、聯電、中芯三家緊密纏鬥,市佔率彼此「很黏」。
     



    中芯國際在中國業務的優勢,當然是受惠地緣政治下的國產化商機,國內IC設計客戶的投單增加,以及華為手機帶動的零組件需求回溫,都是中芯的收入持續衝刺的火種。
     



    聯電有多元化的產品線,以及同樣是地緣政治下的國際客戶投單增加,未來則是有與英特爾在成熟過程上策略聯盟的想像空間。 GlobalFoundries在中國市場曾經主打FD-SOI,以及中國內需龐大的車用商機,這次換帥後,如何在地緣政治的變動下,走出新格局,業界十分關注。

    相關新聞:

    50%關稅加上去,恐讓中國成熟製程產能過剩更嚴重,「國產化」速度勢必提前

    中芯國際咬牙擴增28nm產能,殺價戰與龐大折舊金額夾擊

    驅動IC殺價恐衝擊IP收入? 力旺:摺疊機、電子紙標籤用量倍增

    台積電揭示2nm以下最新A16製程,結合超級電軌與奈米片電晶體架構

    歡迎轉載文章,請註明出處:SEMICONVoice  
  • View More 盧超群.JPG
    半導體

    盧超群:DRAM回暖旺到2025年,報價重回疫情前水平

    鈺創董事長盧超群指出,自家利基型記憶體DRAM陸續導入WiFi 7、機器人、汽車、智慧家庭等新應用領域,整體來看2024年下半年景氣會優於上半年,2025年會延續這股景氣回升力道,DRAM價格可望重回疫情前水平。
     



    全球記憶體產業谷底翻身的軌跡,也是拜OpenAI帶動生成式AI狂潮之賜,新式HBM記憶體成為AI伺服器必備,吃掉越來越多DDR5的產能。再者,DDR5是PC主流規格,下半年起又有AI PC題材發酵,使得國際DRAM大廠需要不斷加大HBM、DDR5投片,陸續棄守DDR3、DDR4市場。利基型記憶體市場在此背景下,開始邁向供需平衡,待庫存消化後,產業會越來越健康。
     



    盧超群表示,鈺創耕耘的不少新產品領域逐漸發酵,尤其網通相關的利基型記憶體產品一直是鈺創的重點佈局,占營收比重超過30%,鈺創提供完整的DRAM、SPI NAND Flash解決方案,滿足客戶從WiFi 5、WiFi 6/WiFi 6E、WiFi 7需要的規格與容量。
     



    他進一步指出,鈺創的利基型記憶體產品線已經獲得WiFI主晶片供應商包括高通、博通、聯發科和瑞昱列入合格供應商清單 (Approved Vendor List,AVL)。並且,已經看到8Gb/4Gb的DDR4、4Gb DDR3導入印太和歐洲客戶的Wi-Fi 6E產品並進入量產,且在WiFi 7方面,8Gb/4Gb DDR4也獲得Wi-Fi 7晶片組供應商的清單認可,陸續向終端客戶提供樣品。
     



    不單是網通,公司也指出,在汽車、智慧家電等業務上,日前都有回升跡象,像是汽車領域,鈺創的產品導入車子車載市場包括顯示器、娛樂系統、硬碟、數位音訊、行車記錄器等、環景系統、ADAS等。
     



    智慧家電方面,鈺創的KGD和Discrete方案也導入像是智慧門鎖、全景相機、藍光DVD播放器、智慧音箱、掃地機器人等,未來期待更多邊緣AI商機落地。
     



    展望未來,盧超群指出,2030 年全球由 AI 主導的經濟產值高達 80 兆美元,其中硬體僅佔40分之1,大概 2 兆美元,而半導體約0.5兆美元。台灣建立了半導體加異質整合的應用堡壘,一定會卡位到創新技術,就如同台灣過往在 PC、手機等,都抓到應用爆發的關鍵。
     



    他也訂下目標,鈺創要在半導體0.5兆美元佔有一席之地,尤其懂邏輯的業者不一定懂記憶體,懂記憶體的不一定懂異質整合,鈺創因為掌握了邏輯IC和DRAM兩大技術,位居獨特地位,要利用異質整合技術的優勢,贏得AI時代的巨大商機。
     



    再者,鈺創看好許許多利基型DRAM客戶在拿到產品後,需要額外找尋封裝和Controller IP服務,導致效能犧牲和成本墊高。因此,鈺創提出一站式開發服務「MemorAiLink」,提供多樣化的記憶體選擇,並提供完整的IP服務,實現高度複雜晶片設計並縮短產品上市時間。
     



    盧超群解釋,要發揮鈺創在邏輯IC和記憶體兩邊的強項,MemorAiLink平台可讓記憶體與不同元件封裝整合,實現高度複雜的晶片設計及縮短產品上市時間,MemorAiLink平台率先推出異質整合晶片產品,切入影音擷取IC次系統市場,且現在客戶已經進入量產,可讓客戶產品多重訊號切換或融合,讓影音串流應用或商業模式提供更多可能性。

    相關新聞:

    盧超群:HBM良率轉順至少還要2~3年,對DRAM排擠效應剛開始而已

    三星DDR3將提前退役,HBM耗損Wafer面積是DDR5三倍,DRAM產業正處關鍵轉折點

    力積電銅鑼12吋廠啟用典禮,宣布切入CoWoS 先進封裝

    群聯:不跟進中國模組廠低價拋售NAND Flash,下半年AI PC生意樂觀


    力積電銅鑼12吋廠啟用典禮,宣布切入CoWoS 先進封裝

    歡迎轉載文章,請註明出處:SEMICONVoice  
  • View More 圖庫.JPG
    半導體

    50%關稅加上去,恐讓中國成熟製程產能過剩更嚴重,「國產化」速度勢必提前

     
    中美貿易戰隨著美國宣布對電動車、半導體、鋰電池課徵高關稅而再度緊張。尤其,美國針對中國半導體的關稅提高到50%,將導致現在中國已經供過於求的成熟製程產能,未來過剩的情況更嚴重,因為採用中國成熟製程所生產的半導體產品,勢必因為高關稅而減少外銷。
     



    因此,預計中國官方將祭出更多的政策與方案,來加大力度來推動國產化,可能是更多的補貼政策刺激國產化的速度前進。否則,這幾年中國瘋狂新建的成熟製程晶圓代工廠,產能過剩的情況將難以想像。
     



    美國這次的大舉提高關稅,最大一刀是砍向電動車,關稅直接拉到100%,呼應特斯拉馬斯克所言「如果沒有貿易壁壘,世界上多數汽車企業都會被中企擊垮。」但目前比亞迪並未進軍美國,中國電動車在美國佔比不高,提高電動車關稅是在防範未來,要觀察的是歐盟會不會跟進針對中國電動車課高關稅,因為中國電動車在歐洲佔比很高。
     



    美國關稅的第二大刀是對中國半導體出手,關稅從25%提高到50%,主要目的是降低美國對中國成熟製程產能的依賴。
     



    美國手上有兩張牌「關稅」與「出口管制」,在先進製程上,美國拿出的是出口管制禁令,而在成熟製程上,則是拿出籌謀已經的關稅政策。
     



    過去幾年美國已循序漸進透過限制設備與材料的進口,封鎖中國在14~16nm以下的先進製程的邏輯晶片製造。
     



    後遺症是,中國開始往成熟製程領域來擴充產能,過去幾年加速購買機台設備,甚至是二手機台設備也搶購,中國半導體廠就是怕美國的禁令一步步逼近下,連成熟製程都被封鎖。
     

    長期下來,演變成中國的成熟製程產能過剩,便宜的IC、廉價的產品逐漸外銷到全世界且極具競爭力,席捲全球,美國也因此有了警戒。
     



    不過,對中國成熟製程發出限制令不是美國想做的,祭出高關稅的手段壓制,才是美國一直以來的計畫。
     



    除了要阻擋中國低價產品輸出海外,晶片透過外銷產品滲透到所有電子產品之外,美國打得另一個算盤是,降低對中國製造的傳統晶片的依賴度,於是宣佈將半導體關稅提高到50%。未來將會產生四個影響:
     



    第一,過去幾年來,歐美客戶原本委由中國半導體代工,在地緣政治的氣氛下,都逐漸將訂單轉出,首選當然是轉給台灣半導體廠代工,包括台積電、聯電、力積電、世界先進。像是,面板驅動IC原本是台灣的強項,後來中國不斷逼近追趕,一方面也是狹持其在面板上的優勢之故。
     



    未來中國的晶圓代工廠會承接中國客戶訂單為主,形成一個世界,兩個系統。至於NAND Flash和DRAM記憶體產品,目前中國分別有長江存儲和合肥長鑫為供應商,未來也將以供給本土需求為主。
     



    第二,中國會加速推展「國產化」,祭出更多政策來鼓勵國產化進程。
     



    美國對中國半導體課徵50%關稅,就是衝著中國成熟製程產能而來,如果中國不加速去推國產化,使用國產IC,未來成熟製程供過於求的嚴重程度,會難以想像。總之,未來中國應該會加速「國產化」,以去除嚴重過剩的成熟製程產能。
     



    第三,如果有想要外銷給美國市場的中國客戶,搞不好會選擇到非中國本土系統的晶圓代工廠投片,或是使用非中國製造的IC,不然半導體產品會被課徵50%關稅,估計消費型產品的機率最大。
     



    第四,該趨勢發展下去,全世界電子相關產品會持續面臨成本上漲的挑戰。原本全球化運作的世界,現在分裂成兩個系統運作,自然會帶來成本上升。

    相關新聞:

    中芯國際咬牙擴增28nm產能,殺價戰與龐大折舊金額夾擊

    高通訂單加速轉出中芯國際,世界先進擴產接住電源管理晶片

    群聯:不跟進中國模組廠低價拋售NAND Flash,下半年AI PC生意樂觀

    當科技戰火燒到英特爾,中國將徹底與西方脫鉤?

    力積電銅鑼12吋廠啟用典禮,宣布切入CoWoS 先進封裝

    歡迎轉載文章,請註明出處:SEMICONVoice  

     
  • View More SMIC.PNG
    半導體

    中芯國際咬牙擴增28nm產能,殺價戰與龐大折舊金額夾擊

    中芯國際在最新一季度的法說會中指出,在急單挹注下,今年2月以來12吋的平均產能都是滿載,但8吋晶圓市況還在低谷,可能要到2025年中之後才會恢復健康水平。
     



    中芯國際CO-CEO趙海軍指出,原本對景氣看法是Double U,第三季還會往下走形成一個凹洞區,但因為12吋廠有急單填滿,下半年看法審慎樂觀,爭取下半年優於上半年。整體而言,他認為整個產業在剔除第一、二名台積電和三星後,今年約成長8%,中芯國際的成長可優於該平均值。
     



    中芯國際今年宣佈高達75億美元的資本支出,以及巨大的攤提折舊,一直是外界關注的焦點。加上中國大陸本土的成熟製程產能過剩,殺價競爭激烈,中芯國際第一季淨利7,180萬美元,年減將近70%,第一季毛利率13.7%,預計第二季會進一步降至9~11%,主要是產能不斷擴大,被迫認列更多的設備折舊。
     



    趙海軍也表示,Local for local策略是當前全球最時髦的做法,今明兩年都是產能建置的高峰年,去年設備採購單都發出去了,深圳、北京、臨港12吋廠持續擴建,即使意識到會共過於求,或許來年會減少投資,但已經發出去的投資現在也無法修正。
     



    至於折舊壓力,趙海軍也指出,持續擴充12吋晶圓產能是為了滿足客戶需求,新建產能釋放過程折舊金額會上升,從虧損到實現經濟規模需要時間,這是行業規律。
     



    中芯國際強調,28nm從2014年開始量產PolySion,2016年開始量產HKMG,28nm PolySion量產超過10年,HKMG量產也超過十多年了。公司表示,28nm是平面製程,性價比高,從民用、公用、汽車、消費性電子等,客戶需求都非常旺盛,長期來看供不應求。因此,當前面對如此巨大的景氣壓力,還是要咬著牙擴充28nm產能。
     



    中芯國際也釋出三個需求面的好消息:
     



    第一,舊產品的庫存消化差不多了,新產品也開始有備貨需求,像是低功耗元件、藍芽、mcu原本已經很久都不拿貨了,現在都開始拿貨加單,整個行業需求上來了,存量賣得多,庫存自然會下降。
     



    之前才有研究報告指出,某一種類型的mcu庫存能繼續賣7年,因為當時市場需求是完全乾涸,但現在消費市場的需求明顯已經逐漸回來,市況好很多。
     



    第二,今年是體育年,有美洲杯、歐洲杯、亞洲盃、奧運會,帶動機頂盒、電視等消費性產品的銷售量增加。
     



    第三,中國智慧型手機廠商今年都在擴大市佔率,每家都在儲備庫存,自然帶動拉貨。
     



    在價格方面,中芯國際認為第二季出貨量會持續增加,但平均售價會因為產品組合而下降,呈現量升價跌。
     



    趙海軍指出,隨著本土產能不斷開出,行業競爭會越來越激烈。自從2月以來,中芯國際的12吋平均產能都是滿載,但戰略客戶仍在市場上仍是常遇到更低的價格,尤其是智慧型手機,常常幾千萬訂單就沒了,為了不讓客戶掉市佔率,這類標準型產品會和客戶站在同一戰線,直接參與競爭。
     



    展望下半年,預計12吋廠平均產能滿載會持續一段時間,8吋廠預計要2025年中之後才會恢復健康,但8吋產品對價格較不敏感,再降價空間也不大。
     



    至於上半年的12吋急單挹注,是否會透支下半年的需求? 中芯國際表示,對於下半年態度持續謹慎觀察,還看不太清楚,公司目標是成長超越同業步伐(剔除台積電和三星)。



    中芯國際2024年第一季營收為17.50億美元,季增4.3%,年增19.7%,毛利率為13.7%,較上季毛利率16.4%和去年同期毛利率20.8%減少,第一季淨利7,180萬美元,年減68.9%,出貨量179萬片(約當8吋晶圓),季增7%,產能利用率為80.8%。



    營收比重方面,中國、美國、歐亞分別佔82%、15%、3%。手機31%、電腦/平板18%、消費31%、互聯13%、汽車7%。
     

    相關新聞:

    50%關稅加上去,恐讓中國成熟製程產能過剩更嚴重,「國產化」速度勢必提前

    台積電揭示2nm以下最新A16製程,結合超級電軌與奈米片電晶體架構

    高通訂單加速轉出中芯國際,世界先進擴產接住電源管理晶片

    驅動IC殺價恐衝擊IP收入? 力旺:摺疊機、電子紙標籤用量倍增


    歡迎推廣本文,請務必連結(LINK)本文出處:Semiconvoice-科技新聞

     
  • View More 群聯.PNG
    半導體

    群聯:不跟進中國模組廠低價拋售NAND Flash,下半年AI PC生意樂觀

     

    群聯5月10日舉行法說,執行長潘健成指出,第二季是電子產業傳統淡季,很多手機廠和PC廠都已經吃了很多庫存,暫時不餓,但遇上市場處於傳統淡季需求很弱,中國記憶體模組廠受不了開始低價拋售手上的NAND Flash,但群聯不打算跟進。
     



    他指出公司在汽車、企業級SSD、PC OEM等更有附加價值的領域都有斬獲,不會跟進中國記憶體模組廠低價拋售NAND Flash庫存換現金,到了2026年,耕耘許久的高毛利企業級產品線,會是群聯電子最大的營收來源!
     



    截至第一季底,群聯合併存貨金額為新台幣301.58億元,較2023年底244億元攀升。今年記憶體產業走出谷底,加上有AI手機、AI PC題材,群聯手握充足庫存,迎接記憶體產業黃金時刻。潘健成指出,現在庫存很夠用,但如果上游原廠需要幫忙,會考慮買一點。
     



    群聯指出,NAND原廠渡過虧損的2023年,2024年第一季開始已轉虧為盈,此時的NAND Flash價格走勢穩定有助於產業供需更為健康,如果價格在短期內急增,等同讓終端需求再度縮手,或是縮減NAND搭載的容量。他更直言,NAND價格若在急漲,會出現泡沫化 (Bubble)。
     



    在汽車領域,群聯的NAND Flash控制晶片滲透率不錯,但模組系統進入該市場需要時間,此次法說會釋出的好消息是模組系統已經拿下多個design-win案子,會持續在2025和2026年發酵。
     



    在手機方面,群聯和高通、聯發科都有合作案子在走,很多都是針對中國本土智能手機的開案上。系統廠方面,第三季開始是傳統旺季,今年又有AI PC的全新換機題材,都陸續會有備貨需求。
     



    在企業SSD方面,2023年第一季企業SSD模組佔營收3%,到了2024年第一季佔比提升至5%,公司預計企業SSD未來佔比會快速攀升。
     



    群聯也指出,2024 年第一季的營收創歷史同期次高,主要是長期耕耘的Embedded ODM、伺服器應用等Design-in市場有顯著斬獲。再者,若不考慮庫存備抵回轉以及庫藏股轉讓員工費用等影響,1Q24 與 4Q23的原始毛利率其實是相近的,這也反應群聯在Design-in的布局上,有助公司整體的長期獲利穩定度。
     



    針對營運,潘健成進一步說明,NAND 原廠在短期內推升市場價格,的確對消費型的 NAND 儲存需求有影響,然群聯廣泛布局 NAND 儲存應用包含工控系統、電競主機、車載應用、企業級 SSD,以及近期擴大推廣的獨家專利 AI 方案“aiDAPTIV+”等各種 Design-in持續如火如荼進行中,這些策略都有效提升群聯在各種“非消費型”應用的市占率及貢獻度提升,近一步貢獻獲利。
     



    群聯2024年第一季合併營收新台幣 165.26 億元,較前季增加4.9%,較去年同期增加64%,毛利率33.9%,淨利24.2億元,每股盈餘12.02元。

    相關新聞:

    盧超群:HBM良率轉順至少還要2~3年,對DRAM排擠效應剛開始而已

    三星DDR3將提前退役,HBM耗損Wafer面積是DDR5三倍,DRAM產業正處關鍵轉折點

    50%關稅加上去,恐讓中國成熟製程產能過剩更嚴重,「國產化」速度勢必提前 

    台積電揭示2nm以下最新A16製程,結合超級電軌與奈米片電晶體架構
  • View More ememory1.png
    半導體

    驅動IC殺價恐衝擊IP收入? 力旺:摺疊機、電子紙標籤用量倍增

    IP大廠力旺今日召開法人說明會,談到今年全面改選董事,且新董事名單有著濃厚的“台積電色彩”,包括台積電董事曾繁城列入董事候選人,陽明交大產學創新研究學院院長孫元成(前台積電技術長)、西門子EDA全球資深副總裁暨亞太區總裁彭啟煌則是列獨立董事。
     



    對此佈局,力旺指出,主要是金管會2024年上路的新制,避免獨立董事任期過久而淪為橡皮圖章,要求上市櫃公司半數以上獨董連續任期不能超過三屆9年,且公司也會跟著晶圓代工廠進入先進製程,這幾位董事人選對力旺無論是在技術、經營策略、夥伴關係上,都可以有加分效果。
     



    由於台積電釋出全球需求端只有AI強勁,其他應用都疲弱的訊號,外界好奇這對力旺2024年營運是否會有影響?
     



    力旺指出,目前看到客戶手上的庫存消化告一段落,預計成熟製程會恢復往年的量產需求,且過去三年公司已經累計1000多個設計定案tape-out,會帶動權利金成長。另一個優勢當然是力旺的IP組合越來越廣,包括MTP、EE、Flash、 RRAM、PUF、SecureOTP、PUFrt (Root of Trust)、PUFcc (Crypto Co-processor)都會持續成長。
     



    中國晶圓廠不斷擴充成熟製程產能且殺價競爭的現象,一直讓業界十分焦慮。力旺的看法是,其實不用太擔心,因為大陸的晶圓代工領導廠沒有跟進,關鍵原因是,降價不會保證市佔率的提升,多數的半導體客戶不會只考慮價格,還有time-to-market、技術、服務等都是重點。
     



    另外,大型美國晶片公司的訂單逐漸移出中國,加上美國、歐洲、日本都有半導體在地製造的政策,這些變因都會限制中國半導體製造產能擴張的速度。
     



    近年來中國的國產化風潮,包括各種應用的IC設計不乏中國本土的供應商,還有本地化晶圓廠生產等趨勢,未來會不會客戶也轉用國產IP?
     



    力旺分析,非揮發性記憶體IP的技術門檻高,投入週期十分長,對應產生出來的營收和代工廠相比,非常非常小,因此這類IP不會是中國半導體自主化的首要重點。中國當地也有國產IP公司,但客戶都很清楚,要跟有“量”的供應商合作,才能對專利有保護、品質獲得保障,IP絕對不能選便宜的。當地IP公司的產品出問題後,客戶又轉回來使用力旺IP的狀況,是屢見不鮮。
     



    面板驅動IC是力旺目前重要的客戶應用,近期驅動IC殺價戰嚴重的問題,也成為關注焦點。
     



    力旺指出,很多DDIC客戶都往先進製程移動,OLED IC的比重提升,加上驅動IC其實有很多新應用市場,像是摺疊機裡面使用的OLED DDIC用量增加,使用到28nm的OLED DDIC。
     



    另外,電子紙朝大尺寸邁進,以及電子標籤的廣泛使用,其需要的DDIC數量也都是倍增。還有電子標籤客戶元本是用力旺的OTP量產,新產品彩色標籤需要四色的電子標籤驅動IC,用到MTP技術,這些新應用不但有助於驅動IC產業走出紅海,更是力旺的機會。
     



    整體而言,在授權金和權利金的貢獻上,力旺提出的展望是:晶圓代工廠和設計公司客戶的需求強勁,授權金貢獻會持續成長。另外,權利金會受惠過去三年超過1500個新產品的設計定案逐漸步入量產,會有新的權利金來源開始產生,這些新應用涵蓋:6nm的DTV、7nm的自動駕駛輔助系統ADAS、12nm的SSD、12nm的影像感測器ISP、22/28nm的網通/交換器、22/28nm的smart image processor。








    力旺董事長徐清祥特別解釋了安全機制協議標準Caliptra,以及該技術帶給力旺的巨大機會。
     



    徐清祥表示,Caliptra是由Nvidia、AMD、Google、微軟等科技巨頭主導成立的標準。關於Caliptra的誕生,是考量到邊緣運算的興起都是透過互聯網連接,雲端和邊緣設備之間頻繁溝通過程中,要讓data在傳輸時有更高的機密性這件事,變得至關重要。
     



    尤其,資料中心的機密運算對安全的需求大幅提升,更要求每個SoC等級的晶片都須證明其可信度,這就是科技巨頭一同定義並推出了Caliptra的初衷,這是一種可重複使用的安全IP模組(硬體信任根),可以整合到在未來的SoC中,包括DPU、CPU、GPU和NIC等。Caliptra1.0也已在2024年3月正式推出。
     



    力旺的IP與Caliptra標準有什麼關係? 徐清祥進一步解釋,Caliptra Silicon RoT 需要幾個關鍵元件,包括 Secure OTP (One-time Programmable Memory)、PUF (Physically Unclonable Function)、硬體亂碼生成器TRNG (True Random Number Generator)和 Crypto Engine,這些元素為晶片建立了硬體信任根,確保作業系統、軟體、資料的安全。



    力旺一直是OTP IP的主要提供者,也開發出可靠的PUF技術,透過整合OTP、PUF和四個環境雜訊,創造了最快的硬體亂碼生成器TRNG 。為了滿足 Caliptra 高標準 Root of Trust 要求,整合 Caliptra Silicon RoT的晶片越來越多,對力旺的需求也會一直增加。
     



    力旺IP透過以下三個功能來滿足 Caliptra Silicon RoT標準:
     



    Unique Chip Identity (藉由晶片指紋):功能類似於身份證,每個晶片都擁有獨特的身份識別碼。力旺以專利技術像是PUF和TRNG生成不重複的亂數,並直接儲存在 Anti-Fuse OTP 中,而Unique Chip Identity 成為賦予晶片身份證明的基石。
     



    Secure Attestation (藉由晶片身份證書):每個晶片都必須經過安全認證,這是驗證其完整性和真實性的過程,透過認證,晶片可以獲得資料中心的授權,並在資料中心系統中註冊成為可信任設備,以確保安全連接。想像成公司為新員工發放工作證,賦予員工身份,使其能夠自由進出公司及使用公司資源。因此,只要晶片被證明過身份,晶片之間溝通將以密文方式進行。TRNG 及 Crypto Engine 在此將扮演重要角色,從而確保網路安全。
     



    Secure Boot (確保啟動時作業系統是可信的):如果被篡改的作業系統要執行非安全啟動,後續應用程式會有遭惡意竊聽、隱私資訊外洩等風險。因此,在安全啟動過程中,執行安全的硬體預先被啟動,然後作業系統映像檔程式碼則在啟動過程中透過硬體進行身份驗證。為了確保作業系統映像檔的完整性,必須對來源原碼進行保護和驗證,這需要由硬體亂碼生成器TRNG產生金鑰並由加密引擎進行加密/解密。
     



    徐清祥強調,力旺擁有 Caliptra Silicon RoT 所需的完整 IP 布局,隨著輝達Nvidia、AMD、Google、 微軟將在資料中心相關晶片採用 Caliptra Silicon RoT,未來會推升力旺IP的使用數。

    相關新聞:

    台積電:除了AI,沒一個能打的!!

    台積電揭示2nm以下最新A16製程,結合超級電軌與奈米片電晶體架構

    50%關稅加上去,恐讓中國成熟製程產能過剩更嚴重,「國產化」速度勢必提前

    中芯國際咬牙擴增28nm產能,殺價戰與龐大折舊金額夾擊

    高通訂單加速轉出中芯國際,世界先進擴產接住電源管理晶片
  • View More HBM1.PNG
    半導體

    盧超群:HBM良率轉順至少還要2~3年,對DRAM排擠效應剛開始而已

    鈺創董事長盧超群出席力積電銅鑼12吋廠啟用儀式時對《SEMICONVoice》表示,AI廣泛使用的高頻寬記憶體HBM要堆疊8層、16層,售價高達300美元~600美元,這在半導體產業根本是天價,而且還一堆客戶都搶著要買。
     



    盧超群進一步分析,現在HBM記憶體還在技術與良率的摸索期,良率瓶頸要完全打開至少要花上2~3年時間,因此HBM對傳統DRAM產能的排擠才剛開始而已。
     



    重點是,現在三大DRAM廠都在搶著爭奪HBM巨大商機,每一家記憶體廠都想當HBM老大,根本沒有力氣去對付傳統DRAM,更是傾公司所有資源和最優秀的工程師都去做HBM,預期下半年利基型DRAM產業會供給量不足,價格一定會水漲船高。
     



    DRAM業界人士更對《SEMICONVoice》透露,投產HBM記憶體不單有耗損wafer面積的問題,且HBM投產製程周期是DDR5的三倍之多,良率又還沒拉上來,現在HBM商機大爆發,但DRAM廠的生產和產能準備沒跟上腳步。
     



    尤其,過去兩年記憶體廠財報出現鉅額虧損,因此都沒有積極擴增新產能,只是把原本減產的部分逐漸恢復正常生產,但這對於未來AI時代所需要用到的DRAM和NAND Flash產能根本不夠,現在又有HBM技術瓶頸,未來DRAM產能會十分緊俏。
     



    或許你覺得現在終端需求還不好,但半導體產業鏈已經渡過漫長的庫存消化,等到PC、手機、伺服器的需求都恢復正常後,客戶和通路商開始會回補庫存,DRAM吃緊的問題會更加嚴重。
     



    市調機構TrendForce也發布報告指出,在403地震前,原先預估第二季DRAM合約價會上漲約3~8%,而最新統計出來的數據是上修漲幅大漲至13~18%。
     



    針對HBM排擠DRAM產能的程度,三星的HBM3e產品是採用1alpha製程節點,預計到2024年底將占用1alpha製程產能約60%,會排擠到DDR5供給量。尤其,第三季HBM3e進入生產放量的時間點,買方已經同意提前到第二季備貨,以防第三季HBM供應會出現短缺。
     



    TrendForce也統計,HBM位元需求可望高度成長,2024年將成長近200%,2025年再進一步倍增。2024年HBM產值占DRAM比重將超過20%,2025年將有機會突破30%。
     



    身為HBM龍頭的SK海力士也指出,DRAM產業需求確實之前較為疲弱,但下半年需求逐漸復甦,加上HBM會吃掉更多的產能,隨著越來越多DRAM產能挪移去生產HBM,傳統DRAM供應量勢必會減少,且慢慢地,供應鏈現有的庫存都會消化殆盡,看好DRAM後勢價格走勢。
     



    SK海力士也宣布,2024年HBM產能全部售罄,2025年產能基本上也賣完,為了鞏固SK海力士在HBM領域的領先地位,計畫5月會推出12層堆疊的HBM3E樣品,規劃第三季進入量產。
     



    更早前,美光也宣布2024年HBM產能全部售罄,2025年產能多數產能也都被預訂。HBM全球狂熱的程度,算是記憶體史上罕見!
     



    SK海力士為了鞏固HBM技術的領先地位,也宣布最新世代堆疊16層的HBM3E技術研發進度,目標是2026年進入量產。據了解,相較12層的HBM,SK海力士的16層HBM以相同的高度堆疊更多DRAM晶粒(die),更關鍵的是能同步減少DRAM厚度,並防止出現晶圓翹曲(warpage),SK海力士為克服這些技術難題,從HBM2E開始就採用領先的MR-MUF(Mass Reflow Molded Underfill)技術,並且不斷進行改良。
     



    在HBM大戰中,長年龍頭的三星居然成為SK海力士的手下敗將,日前傳出不服輸的三星,精挑細選集結了100名頂尖的工程師,組成一支HBM團隊,目的就是爭取Nvidia的HBM訂單,讓良率和品質能通過Nvidia的標準,進而一步步蠶食鯨吞SK海力士手上的訂單。
     



    三星目前的重心是在全球首款36GB 12層堆疊HBM3E,相較堆疊8層的HBM產品,堆疊12層的HBM3E可讓AI學習速度提升34%。三星總裁兼執行長慶桂顯Kye Hyun Kyung指出:“第一回合輸了,但第二回合是非贏不可。”

    相關新聞:

    三星DDR3將提前退役,HBM耗損Wafer面積是DDR5三倍,DRAM產業正處關鍵轉折點

    力積電銅鑼12吋廠啟用典禮,宣布切入CoWoS 先進封裝

    台積電揭示2nm以下最新A16製程,結合超級電軌與奈米片電晶體架構

    群聯:不跟進中國模組廠低價拋售NAND Flash,下半年AI PC生意樂觀
     
  • View More 力積電.jpg
    半導體

    力積電銅鑼12吋廠啟用典禮,宣布切入CoWoS 先進封裝

    晶圓代工廠力積電今日苗栗銅鑼舉行的12吋新廠啟用典禮,包括總統蔡英文、國發會主委龔明鑫、國科會主委吳政忠、苗栗縣長鍾東錦、美國在台協會AIT駐台代表孫曉雅、日本宮城縣知事、印度駐台代表、法國駐台代表全數到場,國內外將近700人與會,匯集美國、日本、印度、法國等國家參與,銅鑼廠的啟用儀式場面十分盛大。
     



    力積電董事長黃崇仁推崇蔡英文過去8年的領導,帶動台灣半導體和高科技產業的進步。三年前疫情期間蔡英文義不容辭出席銅鑼廠動土典禮,黃崇仁跟員工說,一定要在總統任期結束前把銅鑼廠蓋好,今日實現諾言。
     



    黃崇仁指出,苗栗銅鑼12吋新廠自2021年3月開始興建,日前完成廠房興建和機器設備安裝,總投資額追加到新台幣3000億元,將以55、40、28奈米製程為主,單月產能達3萬片,未來隨業務成長也計劃興建第二期廠房。
     



    黃崇仁也提出兩個大方向:“非紅色供應鏈”和“AI新商機”,會是力積電和台灣半導體兩大成長的方向。
     



    為了切入AI應用爆發的商機,力積電苗栗銅鑼廠未來也會切入 CoWoS 先進封裝,主要生產矽中介層(Silicon Interposer)。
     



    再者,力積電也會推出比現在最夯的HBM便宜很多的記憶體堆疊技術,適用於邊緣計算,目前堆疊技術已經成功開發4成,未來朝堆疊8層技術前進。力積電會是同時擁有邏輯和記憶體技術能力的半導體廠,而銅鑼廠會專注在邏輯、CoWoS、3D堆疊技術為主。
     



    短期景氣風向觀察上,因為美國、中國經濟需求買氣還未復甦,力積電也看好第四季AI應用大舉進入手機、PC、筆電等領域,經過2024年的準備,2025年會是非常好的一年。
     



    黃崇仁表示,台灣第一座12吋晶圓廠就是力晶集團蓋的,當時台積電還沒到12吋廠,目前力晶集團合計已經興建了8座12吋廠。
     



    今天有來自日本、印度、沙烏地阿拉伯的貴賓出席典禮,觀摩台灣半導體產業如何達到世界競爭力等級,黃崇仁希望能藉由國際合作,讓更多海外客戶到台灣、到銅鑼,也會帶力積電走出台灣。
     



    他更指出,近年來地緣政治導致全球半導體供應鏈重組,全世界像是美國、印度、日本要組半導體生產鏈都需要政府出資,而力積電沒有財團支持,但深刻感到國家政策和上下游供應鏈的支持。

    相關新聞:

    50%關稅加上去,恐讓中國成熟製程產能過剩更嚴重,「國產化」速度勢必提前

    中芯國際咬牙擴增28nm產能,殺價戰與龐大折舊金額夾擊

    高通訂單加速轉出中芯國際,世界先進擴產接住電源管理晶片

    台積電揭示2nm以下最新A16製程,結合超級電軌與奈米片電晶體架構