半導體

  • View More tsmc 2.JPG
    半導體

    台積電揭示2nm以下最新A16製程,結合超級電軌與奈米片電晶體架構

    台積電日前舉行的北美技術論壇中,正式揭示六大創新技術問世。其中,最大亮點應該是台積電在2奈米製程技術之後,最先宣布的TSMC A16技術問世。
  • View More 展銳.PNG
    半導體

    紫光展銳傳裁撤射頻晶片團隊

    手機芯片設計公司紫光展銳過去幾年歷經了十分頻繁人事異動後,近一年來在半導體行業可謂是悄無聲息。展銳曾經是中國老牌的IC設計公司之一(前身展訊通訊成立於2001年,2018年與銳迪科RDA合併成為現在的紫光展銳),也是備受注目的中國半導體“國家隊”、紫光集團“準IPO”企業,更是中國自研手機處理器(除了華為海思)的第一把交椅。
     



    經歷2022年紫光展銳執行長楚慶離職,同為紫光集團的任奇偉接任CEO,2023年又宣布董事長由吳勝武改由馬道傑擔任後,展銳就這樣靜悄悄了好一陣子,近日傳出來的消息卻是裁員,業界傳出展銳針對射頻PA團隊進行人員精簡。
     



    事實上,中國射頻晶片領域特別“捲”,洗牌賽與整合賽是必然的方向。
     



    更早之前,有中國射頻廠商指出,射頻晶片已經進入無序競爭的地步,從射頻開關、手機PA、Cat.1 PA、WiFi6FEM、濾波器等,整個產業過度內捲與競爭的結果,是“ 天天都有最低價”,未來情況會更嚴峻。
     



    射頻晶片曾經備受中國資本的青睞,這要追溯到3~4年前,全球疫情蔓延背景下的極度“缺芯”,以及中國芯片國產化的大時代背景下,中國射頻芯片公司大量成立。因為射頻晶片門檻不算高,應用領域廣泛,且產品週期短,射頻各個細分領域都吸引大量資本進入,希望能從國際巨頭佔據的大份額市場中,取一瓢飲。
     



    不過,疫情過後手機市場供應鏈庫存一堆、沒有換機的新吸引力,加上供給過剩加劇,中國射頻晶片在高端市場無法挑戰Skyworks、Qorvo、高通等國際巨頭,在中低價市場互相競爭 的結果,導致中國射頻晶片早已經走上洗牌戰之路。
     



    近年來,低調的展銳也積極佈局非洲、印度、泰國等新興市場。 展銳曾對外指出,在新興市場積極與諾基亞、傳音等跨國品牌合作,尤其在非洲,展銳更是攜手當地營運商和合作夥伴,助力非洲邁向數位經濟時代。 此外在印度、泰國等新興領域,也是展銳擴展市佔率的重點。
     
  • View More ASML high NA.JPG
    半導體

    英特爾搶頭香完成ASML第一台High NA EUV組裝,志在搶贏台積電

     

    英特爾宣布,美國俄勒岡州希爾斯伯勒的研發基地中,已完成業界首台ASML供應的商用高數值孔徑極紫外光微影設備(High NA EUV)組裝,型號為TWINSCAN EXE:5000。
     



    該機台正在進行多項校準步驟,預計2027年啟用,率先用於Intel 14A流程,協助英特爾推廣未來流程藍圖。 此設備將投影印刷成像到晶圓的光學設計進行改造,明顯提升下世代處理器的圖像解析度和尺寸縮放。
     



    英特爾計劃於2025年Intel 18A的產品驗證,以及未來Intel 14A的量產階段,都會採用0.33和0.55數值孔徑的EUV微影設備。
     



    《高數值孔徑極紫外光微影設備High NA EUV小科普》
     



    高數值孔徑極紫外光High NA EUV技術使用人工的13.5納米光波長。 此一光波長是利用強大的雷射光束,照射加熱至將近22萬攝氏度的錫滴上而產生,此溫度高出太陽表面平均溫度40倍。 光束從含電路圖案模板的光罩反射,再穿過高精度鏡組打造的先進光學系統。
     



    NA(Numerical Aperture)數值孔徑為衡量光收集和聚焦能力的重要指標,用在光學系統上,決定了光刻的實際圖案解析度和縮小晶體管尺寸,以及能夠做到的製程節點。 然而,要進一步製造尺寸較小的晶體管,仍需要全新的晶體管結構和相關製程步驟。
     



    ASML目前的EUV曝光機NA只有0.33,對應的解析度為13nm,可以生產金屬間距在38~33nm之間的晶片。 往下發展到金屬間距縮小到30nm以下,也就是對應的製程節點在5nm以下,解析度就不夠了。 或是需要用多重曝光(pattern shaping)技術來輔助,會導致成本增加且影響良率。
     



    ASML新一代的高數值孔徑EUV設備EXE:5000可以做到0.55 NA,解析度縮小到8nm。 相較於0.33數值孔徑的EUV微影設備,高數值孔徑EUV微影設備(或0.55數值孔徑的EUV微影設備)可為類似的晶片尺寸提供更高的影像對比度,可減少每次曝光所需 的進光量,並縮短每層列印時間,從而提高晶圓廠的產能。
     



    採用第一台高數值孔徑EUV的英特爾指出,當High NA EUV微影設備與其他在英特爾晶圓代工服務的領先製程技術相結合時,印刷尺寸預計將比現有EUV機台縮小1.7倍。 由於2D尺寸縮小,密度將提高2.9倍,英特爾將持續引領半導體產業發展更小、更密集的圖案化(pattterning)技術,進一步延伸摩爾定律。
     



    《台積電為什麼不急著導入高數值孔徑EUV? 》
     



    過去半導體進入EUV時代,全球也是三星第一家先使用EUV設備的Foundry廠,台積電第一代7nm製程仍是用多重曝光,第二代7nm製程才改用EUV技術。
     



    晶體管架構從FinFET(鰭式場效晶體管)轉換到GAA(環繞閘極場效晶體管)架構,競爭對手三星、英特爾都在3nm製程搶著採用GAA晶體管,台積電的3nm電晶體架構仍是沿用FinFET,直到2nm製程才會改採 GAA晶體管架構,預計2025年量產。
     



    從EUV技術導入、採用GAA電晶體,一直到使用高數值孔徑EUV技術等歷程,可以看出台積電的作風偏向謹慎保守,不會衝第一個採用新技術。
     



    台積電曾回應何時使用高數值孔徑EUV設備時表示,技術本身的價值只有在為客戶服務時,方能彰顯出來。 每當新的工具或設備,台積電都會先研究,看看工具的成熟度和成本,再進一步評估如何實現。


     



    圖說:ASML的TWINSCAN EXE:5000系統的總重量超過150噸,將先分裝於250多個貨櫃中,並集中裝入43個集裝箱,集裝箱由多架貨機運送至西雅圖,再利用20輛卡車 運輸到俄勒岡州。 一台售價將近4億美元! ! !

    相關新聞:
    台積電:除了AI,沒一個能打的!!
    ASML首季財報靠中國獨撐,淨系統收入貢獻高達49%
     
  • View More tsmc 3.jpg
    半導體

    台積電:除了AI,沒一個能打的!!

     
    AI成功拯救全世界!
     



    台積電今日法說會中指出,手機復甦緩慢,PC產業雖落底但緩慢成長,傳統伺服器需求不好,最慘的是汽車,供應鏈庫存一堆,原本預期汽車需求能轉佳,但卻事與願違(難怪特斯拉這麼慘),只有AI需求仍是非常非常強勁。
     



    因此,台積電宣布雙雙下修全球半導體成長率和全球晶圓代工成長率,但自己今年的成長率不變。顯見台積電是AI需求強勁的最大受惠者,仍是一個人的武林!



     

    台積電今日法說會釋出的訊息是好壞參半!怎麼說? 好消息是談到AI需求,總裁魏哲家用 “非常、非常強勁” 來形容AI需求現況。




    台積電強調AI相關產品的定義,是伺服器AI 處理器包括執行訓練(training)和推論(inference)功能的GPU、AI加速器和CPU,並不包含網路、邊緣或終端裝置 AI。
     



    魏哲家更指出,2024年伺服器AI的營收貢獻將較2023年成長超過100%,占2024年總營收3~4%。現在幾乎全球所有AI公司都正在與台積電合作,預計未來五年,伺服器AI處理器將以 50%的年複合成長率前進,預計到2028年,AI將占台積電營收超過20%。
     



    壞消息則是,除了AI之外,手機、PC、物聯網、傳統伺服器、汽車等表現都不出色。PC產業落底正緩步回升、手機需求回升緩慢、傳統伺服器不好,汽車更是不如預期,相較三個月前法說時,汽車產業狀況更糟,完全沒復甦,今年全年汽車電子預計會是負成長。
     



    台積電指出,2024 年因為總體經濟和地緣政治的不確定性持續存在,加上還在消化庫存,宣布調降2024年半導體市場(不含記憶體)的全年展望,原本預期全年半導體市場成長超過10%,現在調降至約10%左右,晶圓代工市場原本預計成長約20%,現在調降至15~19%。
     



    針對台積電本身,公司仍預期2024年營收是逐季成長,以美元算,全年營收成長幅度維持21~24%不變。同時,台積電也指出2024年資本支出不變,維持280億~320億美元,約70~80%將用於先進製程技術,10~20%將用於特殊製程技術,其他的10%用於先進封裝、測試、光罩等。








    針對製程技術和應用的展望,有以下幾個更新:



    N2製程:預期2奈米技術在最開始發展的頭兩年,產品設計定案(tape outs)數量將高於3奈米和5奈米,幾乎都是AI相關客戶。台積電的2奈米將採用奈米片(Nanosheet)電晶體結構,在密度和能源效率上都會是業界最先進的半導體技術。
     



    目前台積電的2奈米製程技術研發順利,裝置效能和良率皆按照計畫甚或優於預期。2奈米製程預計在2025年進入量產,其量產曲線預計與3nm製程相似。
     



    N3製程:2024年營收貢獻會是2023年的三倍以上。也因為3nm製程需求非常強勁,會轉換部分5nm製程的設備到3nm製程。
     

    N4和N5製程:目前多數AI加速器都是在4奈米和5奈米製程,部分客戶開始在合作下一個製程世代,主要是基於耗電因素。

     

    N7製程:目前7奈米製程的產能利用率仍是偏低,但台積電看好7nm製程未來2~3年的需求可望再有一波拉升,重演之前28奈米製程上,從谷底再上演共不應求的戲碼。
     

    CoWoS產能:2024年產能擴充超過兩倍,但仍是無法滿足AI客戶需求。

     

    全球生產據點的現況更新:
     



    美國亞利桑那州廠:計畫設立三座晶圓廠。第一座晶圓廠已於4月進入採用4nm製程技術的工程晶圓生產(engineering wafer production),按計畫在2025年上半年開始量產。
     



    第二座晶圓廠則是繼升級為採用2nm製程技術,支援當前最為強勁的AI需求。第二座晶圓廠目前已經完成上樑,預計2028年開始生產。
     



    台積電也宣布亞利桑那州建造第三座晶圓廠的計畫,預計會採用2奈米或更先進的製程技術。
     



    此外,在宣布第三座廠興建同時,台積電也宣佈了三家大客戶的支持與聲援,分別為蘋果、Nvidia、AMD。看來是台積電美國廠的鐵三角客戶。
     



    另一個與美國廠相關且值得關注的訊息是,艾克爾(Amkor)在2023年底宣布在美國亞利桑那州投資20億美元建立美國最大先進封裝測試廠,就近服務台積電和蘋果(Apple)客戶。台積電在今日法說會回應,很高興看到艾克爾要就近蓋封測廠,一起合作支持客戶。
     



    日本熊本廠:第一座特殊製程技術晶圓廠已將採用12/16奈米和22/28奈米製程技術,2024年第四季進入量產。
     



    日本第二座特殊製程技術晶圓廠預計將採用40奈米、12/16奈米和6/7奈米製程技術,以支持消費性、汽車、工業和HPC相關應用的策略性客戶。第二座廠計畫於2024年下半年開始興建,2027年底開始生產。
     



    德國廠:預計在德國德勒斯登建立一座以汽車和工業應用為主的特殊製程晶圓廠,並計畫於2024年第四季開始興建。
     



    台積電也再次強調,因為海外的生產成本增加,為了反映境外廠區的不同價值,都會反映在公司的定價策略上。同樣買一個漢堡+薯條+可樂套餐,價格在台灣、美國、日本、德國都不一樣!這樣也是合理啦!
     



    針對403地震對營運的影響,台積電指出,地震是芮氏規模7.2,晶圓廠是5,一如當時說明,晶圓廠整體設備的復原率在地震發生後10小時內即復原超過70%,並在地震發生後的第三日結束前完全復原,預計對第二季毛利率影響0.5個百分點,主要是因為晶圓報廢和材料損耗相關的損失。







    根據台積電公佈的 2024 年第一季財務報告,合併營收約新台幣5926.4億元,較上季減少5.3%,稅後純益約新台幣2254.9億元,每股盈餘為8.7元(折合美國存託憑證每單位為 1.38 美元),較前一季減少5.5%。
     



    以美元計算,台積電2024 年第一季營收188.7億元,較前一季減少了3.8%。再者,台積電2024年首季毛利率為 53.1%,毛利率微幅上漲,營業利益率為 42.0%,稅後純益率則為 38.0%。
     



    2024 年第一季,3奈米製程出貨佔整體營收9%、5奈米製程出貨佔37%,7奈米佔19%。總體而言,先進製程(包含7奈米及更先進製程)營收占比高達65%。
     



    台積電提出2024年第二季營運展望,營收將介於196億~204億元,匯率假設基礎美元兌新台幣為32.3,等同預測第二季營收將季增6%左右,預計毛利率介於51~53%,營業利益率介於40~42%。4月地震估計影響毛利率約0.5個百分點,合計地震、通膨、電價對台積電第二季毛利率影響約0.7~0.8個百分點。

    相關新聞:

    台積電揭示2nm以下最新A16製程,結合超級電軌與奈米片電晶體架構

    中芯國際咬牙擴增28nm產能,殺價戰與龐大折舊金額夾擊

    50%關稅加上去,恐讓中國成熟製程產能過剩更嚴重,「國產化」速度勢必提前

    高通訂單加速轉出中芯國際,世界先進擴產接住電源管理晶片



     
  • View More asml 1.JPG
    半導體

    ASML首季財報靠中國獨撐,淨系統收入貢獻高達49%

    全球設備龍頭ASML在2024年第一季營收中,中國區淨系統收入占比高達49%,逼近整體營收一半,且更較2023年第四季佔比39%上升。顯示,在美國禁令步步逼近下,ASML快馬加鞭將設備出貨給中國。
     



    整體來看,ASML交出的第一財財報是低於預期的。第一季36 億歐元的訂單金額,相較上季91.9億歐元的歷史最高紀錄大幅減少,且EUV 訂單金額為 6.56 億歐元也大幅減少。
     



    根據ASML公布,第一季營收 53 億歐元,其中包括 13 億歐元的安裝基礎業務,平均毛利率為 51%,淨利潤為 12 億歐元。在手訂單金額為 36 億歐元,其中 EUV 訂單金額為 6.56 億歐元。
     



    ASML也預計第二季營收為 57 億~62 億歐元。 其中包括 14 億歐元的安裝基礎業務,預計毛利率在 50%~51% 之間。
     



    ASML強調,公司看待 2024 年的節奏並沒有改變,延續之前的基調,會將2024年視為一個過渡年,預期2024 年的收入與 2023 年相差無幾,這意味著2024年下半會比上半場更強勁。而2024 年毛利率會略低於 2023 年的毛利率。
     



    庫存方面,ASML指出,下游庫存獲得很好的控制,下降到我們認知的正常水平,符合預期。意即,ASML看好2024 年半導體行業逐漸復甦,為2025年的大成長年做好準備。
     



    ASML看好2025年有三個原因:
     



    第一,2025 年ASML的EUV設備的最大份額、低數值孔徑 EUV工具將是 NXE:3800,帶來更好的售價和毛利率。
     



    第二,在安裝基礎業務方面,2025 年將是更加強勁的一年,原因是ASML持續提高 EUV 服務的毛利率,隨著市場復甦, 2025 年的升級業務將明顯成長。
     



    第三,高數值孔徑極NA方面,銷售量將成長,成本可望降低。







    EUV技術方面的最新進展:
     



    相較於傳統DUV浸入式曝光系統(193nm),EUV 曝光機使用的極紫外光波長(13.5nm)顯著降低,晶片廠生產7奈米製程以下的技術時,多圖案的DUV步驟可以用單次曝光EUV 步驟代替。
     



    當進入3奈米以下製程,則是使用EUV曝光機,如果要大量推進至2奈米製程,或是更小的尺寸,那就要使用高數值孔徑NA曝光機。
     



    相較於0.33 數值孔徑的EUV曝光機,高數值孔徑NA曝光機。
     

    將數值孔徑提升到 0.55,可進一步提升解析度。NA越大、解析度越高。
     



    ASML第一台第三代EUV曝光機NXE:3800已經交付。NXE:3800系廾可以用來生產4奈米、5奈米、3奈米和2奈米製程晶片。其吞吐量相較NXE:3600D,可以從每小時 160 片晶圓增加到每小時 220 片晶圓,生產力提高了 37.5%,NXE:3800設備美台單價1.8億美元。
     



    ASML預期,2024年下半和2025年,NXE:3800 將成為 EUV 銷售中越來越重要的一部分,也會為公司帶來了更好的平均售價和更好的毛利率。
     



    高數值孔徑極NA設備方面,提供的電晶體密度實際上是低 NA 工具(標準型EUV)電晶體密度的 3 倍。ASML的第一個高數值孔徑EUV設備位於荷蘭 Veldhoven 的 實驗室,第二個高數值孔徑EUV系統EXE:5200是在英特爾,計劃會在14A晶片的生產中使用該工具。
     



    高數值孔徑極NA系統一台造價3.5億美元,ASML致力推進高數值孔徑極NA設備進入半導體產業,旨在兩大目的:一是維持在晶片設備製造領域龍頭的地位,二是滿足台積電和英特爾兩大半導體客戶的需求。業界則是預估,半導體廠要大量採購並使用高數值孔徑EUV設備,預計也要到2030年之後。
     



    ASML 下一代高數值孔徑極NA設備是EXE:5200系統,可用來生產 2 奈米製程晶片。EXE:5200 具有更高解析度,可將晶片縮小 1.7 分之一,同時密度增加至 2.9 倍。

    相關新聞:
    台積電新一輪董事候選人名單,美國商務部副主席入列
    當科技戰火燒到英特爾,中國將徹底與西方脫鉤?
  • View More intel 1.JPG
    半導體

    當科技戰火燒到英特爾,中國將徹底與西方脫鉤?

    在中國,有三家深具代表性的美國科技公司:英特爾、微軟、蘋果。在過去門戶大開的20年中,長驅直入中國市場。當美國與中國從貿易戰打到科技戰,現在已經演變成中國與西方世界的對立與對抗。這把科技戰火,最終是要燒到這三家美商科技巨頭。
     



    2023年,微軟在中國市場做出兩個動作,一是正式關閉領英(LinkedIn)中文版APP,退出耕耘近10年的中國市場,也代表最後一個退出中國市場的西方社群媒體。二是協助位於北京的微軟亞洲研究院 (MSRA) 裡的頂尖AI專家撤出中國。
     



    2023年9月,傳出中國禁止政府相關部門使用蘋果iPhone手機,鼓勵使用國產手機,惟該消息隨後被中國官方否認。由於蘋果一直是股神巴菲特最大重倉的科技股,2023年第四季,巴菲特罕見減持蘋果的股份,雖然減持比例很小,但這確實是一個訊號。
     



    2024年3月底,傳出中美對抗再升級,這次的祭旗對象是在中國地位始終屹立不搖的英特爾。傳出中國官方下令將逐漸限制在伺服器和PC中採購英特爾、AMD的晶片,轉為採購國產晶片。同時,政府採購指南也將排除微軟的Windows作業系統和海外製造的數據庫軟體,全數轉為國產化。
     



    對蘋果、英特爾、AMD而言,中國市場的營收占比不小,分別約不到20%、27%、15%。無論從CPU地位來看,或是營收占比,英特爾與中國都算是深度綁定,因此衝擊也最大。
     



    這一年來中國密集朝蘋果和英特爾開刀,也被視為是中國與西方世界“切割”的象徵。尤其是拿英特爾祭旗,更被形容為“翅膀硬了”、“要徹底與西方決裂”。
     



    英特爾在1985年正式進入中國市場,在北京設立第一個代表處。 主要是因為1979年,中國通過了《中外合資經營企業法》,這是第一個為跨國企業進入中國市場提供的法律依據。 那一年,英特爾剛推出8088微處理器,更是首次進入《財星》「世界500強」排行榜。
     



    花了幾年時間摸清中國市場,英特爾開始認真投資是1994年,當時英特爾CEO安迪·格魯夫首次到中國參觀,並且在上海成立研發中心。 2007年,英特爾更將中國區劃為全球行銷網路中的獨立區域,給予它在機構、行銷和人事等方面的決策權,同一年英特爾大連廠開始投產。
     



    經歷30年,英特爾與中國的緊密關係,原以為是剪也剪不斷,但隨著中美關係的降溫和科技戰的升溫,2024年可能是個重大分水嶺。
     



    未來,PC和伺服器中不用英特爾、AMD的CPU,那要用哪來的晶片? 改用國產的海光、飛騰、華為、龍芯、兆芯,當中有x86架構、Arm-base,也有自行開發的架構,作業系統是開源碼Linux軟體。此時不講求晶片好用,只要堪用即可。
     



    不用蘋果iPhone手機,替代方案是愛國心第一選擇華為,不然還有OPPO、小米等。換到安卓系統的適應是習慣問題。
     



    中國政府部門減少外商技術的採購行動,並非突然發生。 早在2022年9月,國資委發布79號文《關於開展對標世界一流企業價值創造行動的通知》,部署了國企、央企信創國產化的具體要求和推進時間表,規定國企、央企須在2027年完成信創全替代,替換範圍涵蓋晶片、基礎軟體、作業系統等,涵蓋政府、金融、航空航太、電信、交通、校閱、醫院、石油、電力等領域。
     



    2023年底,中國官方進一步定調科技國產化,針對PC、筆記型電腦和伺服器發布更嚴格政府採購準則,首份「安全且可信」處理器與作業系統的清單,幾乎全是中國企業供應商。也象徵逐漸降低採購英特爾、AMD的CPU晶片和微軟的作業系統,是未來官方軟硬體採購的必然方向。
     



    是的,中國政府和國企等放棄英特爾、AMD等西方國家的晶片,轉為國產替代的期限是:2027年。
     




    美國四大招全面封鎖
     



    美國自從2018年陸續制裁中興、華為以來,演變成對中國全面性的封鎖,當中有三大舉措影響最深遠(由近至遠):
     



    第一,針對中國AI算力封鎖,以防中國擴張AI算力能力應用在國防、軍事系統上。從最早在2022年,第一槍限制Nvidia的高階GPU供應,一直演變到2023年直接針對AI算力晶片的限制,間接阻斷台積電為中國國產GPU晶片代工的這一條路。
     



    第二,美國《晶片法案》規定,獲得美國補助的企業在中國等國家擴展半導體生產和研發將會有嚴格的限制,間接阻斷了英特爾、台積電、三星等在中國製程技術與晶圓廠擴產的進展。
     



    第三,2022年限制美國籍公民從事中國半導體製造相關工作,影響所及涵蓋長江存儲、合肥長鑫、中微半導體等。由於中國科技產業幾乎是海歸派和延攬海外人才所建立而成,此限制直接從人才下手,影響十分鉅大。
     



    第四,對ASML光刻機的限制,從極紫外光EUV擴大到成熟製程使用的DUV系統,也等同直接擴張長臂管轄的範圍。日前更傳出美國要求荷蘭阻止ASML對中國半導體廠進行維修服務。
     



    美國與中國的科技領域全面對抗,發展到英特爾這個層級,算是來到另一個高峰。

    過去我們對科技世界的認知是,CPU為科技產品的核心,無論如何英特爾的地位是很難被替代的。不過,自從生成式AI強勢席捲全球,科技世界運轉的主導者來到Nvidia身上,既然GPU都可以被禁,火燒到CPU身上也不用太大驚小怪。
     



    就台灣產業而言,英特爾、AMD恐被中國排除在外,產業鏈估計影響有限,因為去中化已經行之有年,無論對晶圓代工、IC設計、AI供應鏈等,影響均不大。
     



    對中國而言,看來科技產業真的走到一個系統、兩個世界的分岔路上,未來科技產業系統將分為中國標準和非中標準,無論大家如何爭議中美之間的真假脫鉤,在科技系統上,雙方脫鉤成兩個標準已經是在路上。
  • View More tsmc2.jpeg
    半導體

    台積電新一輪董事候選人名單,美國商務部副主席入列

    台積電將在6月4日股東常會中舉行董事改選,今日宣布十位董事被提名人,包括三位現任董事:魏哲家、曾繁城、龔明鑫,四位現任獨立董事:彼得邦菲、麥克史賓林特、 摩西蓋弗瑞洛夫、拉斐爾萊夫,以及新增的三位獨立董事候選人為:烏蘇拉伯恩斯、琳恩埃爾森漢斯、林全。
     



    除了董事長劉德音一如先前公司宣布將卸任之外,擔任台積電董事多年的陳國慈,以及台達電董事長海英俊都將在這次改選中卸任台積電董事。


    (照片來源:哈佛商業評論)



    這次台積電增加的三位獨立董事候選人身分非常特別,其中烏蘇拉伯恩斯(Ursula M. Burns) 是美國商務部供應鏈競爭力諮詢委員會副主席,也是美國商界最有權力的黑人女性。 黑人、女性,符合美國式的政治正確。未來對美關係的強化,是台積電的重中之重。
     



    烏蘇拉伯恩斯曾擔任全錄公司(Xerox)執行長,是第一位領導財富(Fortune)全球500大企業的非裔女性。根據公開報導,烏蘇拉伯恩斯出生於紐約下東區的貧民窟,從小就由母親撫養長大,家中有三個小孩,她是老二。 她從小在數學上極具天賦,高中畢業後進入紐約理工學院獲得機械工程學士。

     

    大學快畢業時,伯恩斯就獲得全錄的工作機會,公司看重她的潛力甚至願意資助到哥倫比亞大學繼續攻讀碩士學位,條件是畢業後為全錄工作。 她在1981年拿到碩士學位後,在全錄開始了她的職業生涯。 2009年~2016年期間擔任全錄執行長。
     



    離開全錄後,柏恩斯擔任跨國電信公司Veon執行長,且持續擔任多家大型公司的董事。 她也曾加入美國前總統歐巴馬促進理工科教育的STEM國家項目,鼓勵年輕女性和少數族裔從事數學和科學工作。
     



    另一位董事提名人是琳恩‧艾森漢斯,是沙烏地阿拉伯石油公司獨立非執行董事及審計委員會主席。
     



    第三位是林全,曾擔任台積電轉投資世界先進的董事長,現任東洋董事長、東生華製藥董事長、及碩獨董及總統府資政。
     

    對於這次董事會提名,劉德音表示,台積電董事會是由來自產業界、學術界、法律界的多元背景專業人士組成,這些具有世界一流業務營運經驗的專業人士分別來自台灣、歐洲和美國。 身為主席,我非常幸運參與如此健全的董事會並獲得大家的支持,確保台積公司朝著正確的 道路前進。 我衷心感謝所有董事們的付出與貢獻,也相信台積公司在新一屆董事會的引領之 下將持續迎向光明的未來。 
     



    台積電總裁魏哲家博士表示,過去多年來,董事就其專業與豐富的經驗,提出建言支持公 司的成長策略,不斷強化公司治理。 台積電近年來經歷了前所未有的挑戰,隨著持續變化的產業樣貌及地緣政治,我們依然引領技術的開發與進步,推出最先進的技術,強化我們的競爭力,讓我們的創新與永續發展 處於領先的地位。 劉董事長將從董事會卸任,我們深表祝福,感謝劉董事長傑出的領導能力,支持台積公司克服挑戰並成長茁壯。
  • View More AI1.JPG
    半導體

    字節跳動自研7nm製程AI晶片,博通提供設計服務

    年初,博通CEO陳福陽在分析師電話會議上指出,2024財年度AI需求在半導體營收的占比將從先前預測的25%,提升至35%。在Morgan Stanley最新報告中指出,字節跳動的7nm製程自製AI ASIC晶片,由博通提供設計服務。
     



    大摩也提到,日本最大的ASIC設計服務公司索思未來(Socionext)有機會贏得雲端服務商3nm製程Arm-based CPU設計案子,Socionext將成為世芯和創意在雲端服務供應商ASIC晶片上的競爭者。








    隨著美國禁令對中國的算力晶片的管制趨於嚴格,即使是Nvidia的特供版晶片,因為調降後的算力大幅降低,對中國客戶的吸引力也因此大幅減少。
     



    中國雲服務供應商包括華為、百度、阿里巴巴、字節跳動、騰訊都強化投入AI自研晶片,降低對於Nvidia、AMD等GPU的依賴。
     



    目前中國AI自研晶片主要分兩派,一派如阿里巴巴的平頭哥、百度崑崙芯等,自研力道和規模較大,另一派是騰訊和字節跳動,偏向小規模,但在自研晶片之路上,騰訊和字節跳動普遍被業界認為是小打小鬧。
     



    日前,阿里巴巴董事局主席蔡崇信表示,因為美國限制Nvidia對中國提供高階晶片,中國在AI發展上可能會較美國OpenAI落後兩年,且受到美國晶片禁令限制趨嚴,確實在短期和中期上影響了阿里的雲業務。他進一步指出,目前中國雲端業務確實面臨晶片短缺的問題,目前晶片庫存在12~18個月內尚足夠支應LLM的訓練。
  • View More tsmc美國廠.JPEG
    半導體

    台積電美國廠將導入2nm製程,計畫建第三座廠總投資超650億美元

    台積電指出,已與美國商務部簽署一份不具約束力的初步備忘錄(preliminary memorandum of terms, PMT),基於《晶片與科學法》(CHIPS and Science Act),TSMC Arizona 將獲得最高可達 66 億美元的直接補助。同時,台積電將在第二座美國廠導入2奈米製程,預計2028年開始生產。
     



    美國除了提出 66 億美元直接補助,也會提供台積電最高可達 50 億美元的貸款,以及 TSMC Arizona 資本支出中,符合條件的部分申請最高可達 25%的投資稅收抵免。
     



    之前業界即傳出,美國要求台積電轉移2nm製程技術到亞利桑那州晶圓廠,才能如期獲得補助款,如果只有之前宣布的4奈米和3奈米製程,恐創新研發能量不足。
     



    TSMC Arizona 的第一座晶圓廠依進度將於 2025 年上半年開始生產 4 奈米製程技術,繼先前宣布的 3 奈米技術,第二座晶圓廠亦也會導入下一世代奈米(Nanosheet)電晶體結構的 2 奈米,預計於 2028 年開始生產。
     



    同時,台積電也宣布計劃在美國建置第三座晶圓廠,採用2奈米或更先進技術,來滿足客戶強勁需求。隨著第三座晶圓廠的設立計畫,台積電在亞利桑那州鳳凰城的總資本支出超過 650億美元,創下亞利桑那州史上規模最大的外國直接投資案,也是美國史上規模最大的外國在美直接綠地(greenfield)投資案。
     



    值得注意的是,台積電今日公告中,引用了三家客戶的話:AMD、蘋果、Nvidia,是台積電美國亞利桑那州廠的三大客戶。
     



    AMD董事長暨執行長蘇姿丰:「突顯了雷蒙多部長和美國政府為了確保美國在打造更具地域多樣性和彈性的半導體供應鏈中扮演重要角色,所做出的堅定承諾。台積電長久以來所提供的先進製造產能,讓AMD能專注於設計改變世界的高效能晶片。我們致力於維持與台積電的合作夥伴關係,並期待在美國生產我們最先進的晶片。」
     



    蘋果執行長 Tim Cook:「台積電處於先進半導體技術的領先位置,當這種專業知識與美國工作者的聰明才智相結合時,任何難以置信的事情都會變成可能。我們很榮幸能在台積電美國生產的拓展中發揮關鍵作用,我們將繼續在美國投資,支持美國先進製造的新時代。」
     



    Nvidia創辦人暨執行長黃仁勳表示:「祝賀台積電的歷史性投資,並對商務部的支持給予喝采。自從輝達發明 GPU 和加速運算以來,台積電一直是我們的長期合作夥伴,如果沒有台積電,Nvidia就不可能在人工智慧AI方面持續創新。我們很高興在台積電為亞利桑那州帶來其先進製造設施的同時,繼續與台積電合作。」
     



    TSMC Arizona 的三座晶圓廠預計將創造約 6,000 個直接工作機會,根據大鳳凰城經濟發展促進會(Greater Phoenix Economic Council)的分析報告,針對這三座晶圓廠的增額投資將創造累計超過 2 萬個單次的建造工作機會,以及數以萬計的間接供應商和消費端累計的工作機會。
  • View More Q.JPG
    半導體

    生成式AI的時代弄潮兒,高通如何成為接棒者?

    2023-11-02



    生成式AI掀起科技時代大浪潮,在浪潮的起點,GPU與軟體業者是時代弄潮兒。 但很快地,人們對ChatGPT的熱情消退,雲端服務商承擔的龐大成本壓力浮現,各界開始冷靜思考,生成式AI要能廣泛落地,只能集中在雲端運作嗎? 難道這AI商機創造的大把銀子,都讓英偉達一人賺走不成!



    當然不是如此。 近日,AI大模型的發展朝端側的方向是呼之欲出,隨著連接設備和資料流量的爆增,不可能什麼資料都傳送到雲端,這會讓資料中心成本不堪負荷。 日前就有研究機構預估,光是維持ChatGPT運作就使得OpenAI每天要燒掉70萬美元,如果沒有後續資金進來,不排除OpenAI會在2024年底前面臨破產危機!
     

    由此可知,未來生成式AI要能更廣泛落地與普及,凡事「上雲」絕對不是最好的解決之道。
     

    雲端AI的商機正在往邊緣和側端傳遞,混合AI才是真正未來。 高通在幾個月前就已經傳道過此觀念,在夏威夷舉行的Snapdragon Summit 2023上,更進一步揭示了生成式AI未來朝邊緣端發展的道路上,高通下了哪些功夫。 各界更發現,藉由重新定義AI裝置,高通搶下新一輪生成式AI的話語權。
     

    2023驍龍峰會Snapdragon Summit無疑是高通這十年來最重要的一場發表會。 在此之前,高通一直「驍龍」困於智慧型手機高庫存中,這次要藉力AI破局而出。
     

    在高峰會上,高通帶來了幾個重要產品:手機市場的三代驍龍8行動平台、PC市場的驍龍X Elite平台、第一代高通S7和S7 Pro音響平台,更因為生成式AI的魔力加持 ,新品展現了強大的競爭力。





    有別於過去的峰會都由手機處理器擔任主角,這次峰會最大亮點,是高通揭露了進軍PC領域的旺盛企圖心。
     

    這次針對PC重新定位的驍龍X Elite平台,加上導入4nm製程自研的Oryon CPU,在效能、功耗上都表現都勝過競賽。 尤其高通點名與蘋果Arm架構CPU、英特爾i9 CPU較勁,以及GPU與超微的Ryzen 9比較,直面競爭彰顯自信。
     

    日前傳言英偉達也計劃推出Arm架構PC CPU,AMD也有意從x86轉往Arm架構,顯示眾處理器巨頭都在嘗試跳脫舒適圈,擴大自己的戰場。



    高通要成為端側生成式AI的領導者,不能只是靠一顆晶片獨立打仗,生態系講求的是團體作戰。 建立生態係是一項巨大且艱難的任務,高通做到了,成功集結ODM廠商、獨立BIOS供應商IBV、獨立硬體供應商IHV、獨立軟體供應商ISV,以及更大雲端平台等,打造屬於自己的 AI生態系。
     

    在AI PC筆電廠商中,第一批持者有聯想、三星、宏碁、華碩、戴爾、惠普、榮耀等,2024年可以看到這些品牌推出X Elite PC。 另外,在雲端平台巨頭上,更有微軟、Google、Meta現身加持。



    在此要特別談談高通如何拿下生成式AI領域的話語權。
     

    AI始於雲端,但手機是世界和雲端連接最頻繁的終端,在終端和雲端中間的這一部分稱為「混合AI」。
     

    在4G時代,當4G將寬頻連接到行動終端機時,就可以擁有一個手掌大小的電腦,之後更有智慧型手機象徵個人行動運算終端設備的誕生。 未來5G和個人行動運算的發展,AI也會經歷同樣的歷程。
     

    回想早在2018年,高通就開始談5G進入行動市場,2019年5G進入商用終端,為什麼高通提早開始大談5G和AI? 當時很多人不懂,為什麼高通要把5G跟AI擺在一起看?
     

    試著想想,有運算能力與技術的發展、有成熟的AI模型、有現成的雲端和終端,這些條件與優勢組合起來,會形成什麼樣貌嗎? 這是高通一直在做的一件事:重新定義行動終端設備。
     

    一直以來我們習慣的終端運行,是以應用程式為中心。 例如高通有一個計算平台,在上面運行作業系統,然後是作業系統裡的應用程序,人在觸控介面上點擊觸摸使用應用程序,在不同應用程式間轉換。 同時,資料從一個應用傳輸至另一個應用。
     

    但AI進入終端後,一切已經發生變化,可稱為「AI轉型」。 AI在終端上無所不在,無時無刻都在運行,圍繞著使用者作出預測,因此AI要了解你的行為,讓終端、作業系統都變得智能,改變使用者和終端互動的方式,這不僅 限於智慧型手機,在PC端、汽車,很多不同的終端上都能實現。
     

    這個轉型也讓AI引擎在終端機上運行與雲端交互,這一切都是靠5G和AI連結在一起。



    在AI領域,有別於英偉達的優勢在雲端運算能力,高通正在透過具備優勢的通訊連接與算力能力,圍繞著驍龍平台展開工作,這其中包括Oryon CPU、Adreno GPU,以及NPU神經網路處理器 Hexagon,從邊緣側端進攻,迎接這波AI時代的大潮流。
     

    其中,NPU不僅能實現支援生成式AI的手機,也能讓PC支援生成式AI。 Stable Diffusion模型過去可以在智慧型手機上運行擁有10億參數的Stable Diffusion模型,從給出文字生成一張圖片要15秒,在搭載下一代驍龍旗艦平台的終端上,其運行速度已經快到0.6 秒。
     

    此外,還有Meta的Llama 2模型、Android基礎模型,以及許多來自中國合作夥伴的模型,都將搭載到商用終端上,帶來全新的體驗。
     

    這次的驍龍峰會上,高通也宣布三代驍龍8,是高通首款以生成式AI為核心的行動平台能,首發裝置是小米14,峰會上更由小米總裁盧偉冰親自站台。 三代驍龍8是採用台積電4nm製程,採1+3+2+2 全新四叢集8核心架構,並攜手Meta整合其Llama 2的大型自然語言模型,能在終端對應超過100億組參數,平均每秒可執行15組代碼 指令。
     

    生成式AI爆發性成長以來,AI戰局丕變,有兩個變化非常值得觀察。 一個是生成式AI商機初期是英偉達在雲端稱霸,但隨著模型參數的急劇增加,要處理和傳輸大量的數據因此對GPU需求巨大,使得側端有追上的機會。 高通從邊緣端使力,利用其邊緣端側的優勢,以及連結與運算能力,要反攻AI市場。
     

    英偉達與高通,一個從雲端出發,另一個從邊緣端施力,在AI世界互相碰撞。
     

    另一個變化是,PC與手機市場的競爭態勢進入到另一階段,高通這次的驍龍X Elite平台宣示進軍PC領域的企圖心,也傳出英偉達可能與聯發科一同攻克Arm架構CPU市場。 這對於疲弱已經的PC和手機市場,無疑是再好不過的消息。 有競爭才有創新,市場才有活水,AI PC時代到臨,消費者有很棒的換機動能了。
     

    在生成式AI爆發初期,看似是英偉達一個人的武林,現在高通在PC和手機雙戰線啟動,從邊緣端出發。 高通更是集結上下游、軟硬體合作夥伴,形成自己的AI生態系供應鏈,在複雜的AI時代,技術領先只是基本功,團體戰術打群架才是致勝關鍵。

    相關新聞:

    台積電:除了AI,沒一個能打的!!

    台積電揭示2nm以下最新A16製程,結合超級電軌與奈米片電晶體架構

    ASML首季財報靠中國獨撐,淨系統收入貢獻高達49%
     
  • View More tsmc.JPG
    半導體

    台積電:3nm急單湧入,5nm需求回升,年底前晶片庫存水位恢復健康

    2023-10-19

    台積電在2023年10月19日投資者會議上表示,3nm流程有大客戶的急單來了(蘋果),原本萎靡不振的5nm過程現在需求也變得很好,第三季IC設計公司的庫存 水位已顯著下降,預期2023 年第四季度結束前,整個庫存將來到更健康的水平。
     



    台積電前傳出5nm製程產能利用率一度降至50%,第三季訂單回籠,產能利用率快速回升,5nm製程需求非常好,在3nm製程方面,大客戶也有急單挹注。
     



    台積電2023年第三季收入172.8億美元,3nm製程收入比重來到6%、5nm製程佔37%,7nm製程僅佔16%,整體來看,包含7nm以下的先進製程佔收入達59 %。
     



    7nm製程的產能利用率方面,業界也傳出前最低迷時的利用率只剩下20~30%,為什麼當時降到這麼低? 魏哲家解釋,這的確超乎內部的預期,原本7nm產線的利用率非常好,但突然間紅了十年的手機需求驟降,從14億支降到11億支,還有一個客戶的產品 延後推出,使得7nm產能利用率大幅減少。 未來,預計7nm製程需求會在特色製程上有另一波高峰,包括RF、connectivity等,與2018和2019年的28nm製程路徑很相似。
     





    AI需求方面,魏哲家指出,需求非常強勁,未來1~2年會看到邊緣AI相關需求,手機、PC應用都會在裝置端加入AI功能,例如類神經引擎等,這樣的需求已經啟動。
     



    針對最新版的美國出口管制,是否會影響長期AI收入? 台積電指出,短期影響很少,但中長期影響仍在評估中。 目前AI芯片仍有產能瓶頸,正在加緊擴增產能。 未來無論何種AI晶片如CPU、GPU、AI加速器、ASIC等,共通點就是都需要先進製程。
     



    汽車方面,過去三年車子需求很好,但現在進入庫存調整階段,預計2024年汽車需求會再起。
     



    業界認為英特爾在晶圓代工領域對台積電步步逼近,尤其英特爾想在2024年彎道超車藉由18A(等同台積電2nm製程)的領先量產,來直取全球晶圓代工技術龍頭寶座 。
     



    魏哲家在今日投資者會議上意外直面回應:「我們沒有低估任何對手,台積電將在2024年量產N3P,PPA等性能相當於英特爾的18A,我們上市時間更快且成本更好!」翻譯一下這 句話意思大概是:“台積電用3nm加強版就贏過對手的2nm技術!”
     



    台積電2023 年的資本支出約為 320 億美元,其中 70%將用於先進過程,20%用於特殊製程技術,10%將用於先進封裝和測試等。
     



    針對3nm工藝,台積電重申,2023年將佔收入中個位數(mid-single digit) 百分比,2024 年佔比將會更高。 N3E作為3nm製程家族的延伸,已通過驗證並達成效能與良率目標,預計在2023年第四季量產。 同時,也將進一步持續強化N3技術,包括 N3P 和 N3X 製程。
     



    公司指出,隨著持續強化3nm製程技術的策略,預期顧客在接下來數年將有強勁需求,有信心3nm技術家族將成為台積電另一個大規模且有長期需求的製程技術。
     



    台積電也觀察到2nm製程在高效能運算HPC和智慧手機相關應用方面,客戶產生的興趣和參與程度,與3nm製程在同一階段時不相上下,甚至更高。 台積電2nm製程技術在2025年推出時,在密度與能源效率上都將是業界最先進的半導體技術。
     



    台積電的2nm製程將採用納米片(Nanosheet)電晶體結構,目前2研發進展順利,並將如期在2025年進入量產。 同時,2nm製程也發展出背面電軌(backside power rail)解決方案,此一設計最適合HPC相關應用,目標是在2025年下半年推出背面電軌供客戶採用,並於2026年量產。
     



    全球佈局進度更新:
     




    歐洲:在德國Dresden興建一座以汽車和工業應用為主的特色製程晶圓廠,並已獲得了合資夥伴、歐盟政府,以及德國聯邦政府、邦政府和市政府等各方支持此案的堅定 承諾。 該晶圓廠將採用22/28nm及12/16nm流程,計畫於2024年下半年開始興建,2027年底開始生產。





    美國:亞利桑州那廠正在進行第一期晶圓廠的廠房基礎設施、公共建設和設備安裝,目前取得了良好進展且情況正在改善。 到目前為止,已經聘用了近1,100名當地的台積員工,當中有許多人被安排來到台灣晶圓廠累積大量的「實戰」(hands-on)經驗,以進一步提升其技術技能,同時融入 公司的營運環境與文化。 亞利桑州那廠目標是在2025年上半年量產4nm過程(N4),並相信一旦此晶圓廠開始運營,將與台灣晶圓廠有相同水準的製造品質和可靠性。





    日本:正在興建一座特色製程技術的晶圓廠,採用12/16nm和 22/28nm製程技術。 到目前為止,台積電已經聘用了約800名當地員工。 該晶圓廠的設備已於本月開始移入,並依進度可望於2024年末進入量產。





    南京:近期獲得美國商務部工業與安全局(Bureau of Industry and Security, BIS)展期豁免,得以在南京持續運營,目前正在申請「經認證終端用戶(Validated End-User, VEU)」授權, 並 預計在不久的將來取得無限期豁免。







    從成本角度來看,海外晶圓廠的起始成本會高於台灣的晶圓廠有三個原因:晶圓廠規模較小、整體供應鏈的成本較高,以及與台灣成熟的半導體生態系相 比,海外的半導體生態系尚處於早期階段。
     



    台積電指出,公司責任是管理及最小化成本差距,以最大化股東回報,定價也將維持策略性以反映價值差異。 通過這些行動,將有能力吸收海外晶圓廠較高的成本,並且仍可達到長期毛利率53%以上,且可持續的股東權益回報率高於25%。
  • View More t.jpeg
    半導體

    張忠謀憂心:英特爾竟然兩度與拜登同台做國情咨文,利用地緣政治挑戰台積電地位

    2023-10-14

    2023年10月14日台積電復辦因疫情停止三年的運動會,創辦人張忠謀以「貴賓」身分參與。 不過,他上台致詞時強調:「我不是貴賓,一直是自己人!」他更在致詞中直接表示,全球化和自由貿易都沒了,現在最重要的是國家安全,而且會有別的國家 利用地緣政治的趨勢,對台積電形成相當大的挑戰!
     



    在早上運動會節目後,張忠謀與媒體記者進行一場深度對談,談台積電最大的挑戰者是誰?  從哪裡觀察到這位挑戰者在晶圓代工領域旺盛的企圖心? 對於全球各地狂建半導體晶圓廠的看法,以為未來20~30年台灣半導體優勢是否能維持。
     

    張忠謀口中晶圓代工領域的頭號競爭者就是英特爾。
     

    十年前,他曾經形容三星和英特爾是兩隻700磅的大猩猩; 如今,他再談全球晶圓代工競爭時,對三星早已是隻字不提。 現在的張忠謀眼裡最在意的只有英特爾。
     

    《問芯Voice》對張忠謀提問:您過去曾表示英特爾雖然很想做晶圓代工,但這家公司的企業DNA裡面是缺乏服務精神的,而服務客戶是Foundry的核心,為什麼現在您卻認為 英特爾的Foundry事業可能會影響台積電的地位? 是因為技術的追趕? 與美國政府的關係? 還是地緣政治的因素?
     

    張忠謀回應:很明顯的,美國政府和有些美國客戶開始顧慮到價格、成本的問題,加上英特爾受到美國政府高度重視。 他更是觀察到拜登和英特爾CEO基辛格之間不尋常的互動與好交情。
     

    他分析,英特爾的基辛格重新回到英特爾執掌CEO之後,受到美國政府的高度重視,2021年1月英特爾宣布基辛格上任,同年4月拜登對美國國會的國情咨文(State of the Union Address)報告上,基辛格也與會並宣揚高科技產業必須重回美國製造的理念,拜登也特別向現場的國會議員介紹英特爾CEO基辛格(Patrick Gelsinger),這是給英特爾很大的 面子。 隔年,2022年4月拜登的國情咨文報告中,又看到基辛格的參與出現。
     

    從基辛格連續兩年去參加拜登跟國會報告的舉動,可以看出在拜登政府眼中,美國重返製造的最佳model典範是英特爾。
     

    張忠謀直言,基辛格回到英特爾擔任CEO後,一直把台積電當成目標,表示非跟台積電競爭不可,而且英特爾持續增加研發投資且擴產的動作,也是走規模經濟:當你把東西越做越 多、規模越做越大,成本減低的機率越高。




    張忠謀講了一個小故事分析這個理論,他也透露這是台積電成功的秘密。
     

    他分享,50年前他還在德州儀器(TI)時,當時還在波士頓顧問BCG工作的貝恩Bill Bain在德儀有一個辦公室,他研究出經驗曲線(Experience Curve)模型理論,意即生產 規模越大、經驗值累積越多,降低成本的機會也會越大,當時德州儀器已經開始採用經驗曲線模型理論,這也是後來台積電的成功秘訣,不斷投資擴大營運規模和超前研發。 而張忠謀認為,現在的英特爾也正在用這個方式在追趕台積電。
     

    張忠謀表示,客戶那邊也釋出,如果有一家公司可以提供好的服務、好的良率、技術又能趕上、價格又好,客戶也會想要試試看,尤其是生產製造在美國, 更是符合現在美國客戶想要的趨勢。 但他也強調:認為這樣的情況不會發生,只是心理仍是有陰影存在。 言談之間流露出對台積電處境的關心與擔心,畢竟曾在美商工作長達30年的張忠謀,很清楚美國政府現在心裡在想什麼,以及是如何與英特爾連成一線。



    針對當前全球瘋建立半導體的趨勢仍是持續,台積電全球建廠計畫已經有美國、日本、德國三個生產基地啟動,張忠謀最看好哪一個國家做半導體最有機會?
     

    他的答案是日本,熊本廠位處的九州,包含整個日本都是土地、水電等資源充足,這是做半導體做必要的條件,加上日本的工作文化很好,日本做半導體是很適合的 。 他在50年前在德州儀器時也在日本設立了一個封測裝備廠,結果相當滿意。
     

    再者,張忠謀認為新加坡其實也是一個蓋晶圓廠很理想的地方,但就是地方小,因此水、土地的資源不夠。
     

    耐人尋味的是,他也提到中國大陸蓋晶圓廠的適合性,他頻頻點頭(示意非常合適),但最後把話止住:大陸就不說了。 推測他的意思是,台積電已經在上海松江、南京蓋多座晶圓廠,成效佳且也完成了擴產目標,但因為地緣政治因素,眼前的局勢與最初在南京想要大展身手的初衷 來看,難免有點出入,他心中應該也是頗感遺憾。 把話止住可能認為說了也改變不了什麼。
     

    談到美國半導體製造的環境,張忠謀表示,他在美國的半導體經驗是1955~1983年,他認為1955年~1972、1973年左右半導體製造環境和今天的台灣幾乎是一樣好,後來的美國雖然失去 蠻大一部分半導體製造,但整個產業已經升級到IC設計,不用投入很多資本,是個很高等的行業,賺很多錢,後來也孕育出英偉達、蘋果這些企業,微軟、Google也開始做晶片設計。
     

    談到台灣的半導體競爭力,他認為20~30年後,台灣的半導體製造環境不會像現在這麼有力,有兩個原因:一是與經濟發展的情況相關; 第二是,20年後的 台灣年輕人不可能像以前的工程師一樣,半夜12點工廠的設備出問題,還願意馬上衝回公司處理。
     

    他曾經對美國有人說到台灣半導體成功的秘訣是:不管多晚,只要台積電廠內的機台設備有什麼問題,工程師都會立刻回廠內修好才回家繼續睡覺,連他們太太對這樣的狀況 都沒意見,美國人紛紛感到不可思議。
     

    至於20~30年後誰的勢力會抬頭? 張忠謀幽默說,當時美國友人接著問了這個問題,你們(現場媒體)怎麼沒人問這題? 他回答,也許是印度、越南、非洲,who knows。
     

    以巴戰爭的情況也成為今天現場訪談的詢問點。 張忠謀表示,這對半導體供應鏈的影響與關聯性是很小的。
     

    現場有媒體問到:過往英特爾CEO三番兩次說台灣是世界上最危險的地方,暗示客戶應該要考慮轉單到其他地方投單生產,但現在以色列陷入戰火,而英特爾在以色列有投資研發 中心和廠房,會給英特爾什麼提醒? 張忠謀笑言:我才會不給提醒呢! 他也補充,認為這事對英特爾的影響其實不大。
     

    另外,他透露,他本來有邀請英偉達的黃仁勳來參加台積電運動會,但這個時間點黃仁勳本來是要去以色列參加AI高峰會,因此無法參加。